JP2009016815A - 微細パターンの形成方法 - Google Patents

微細パターンの形成方法 Download PDF

Info

Publication number
JP2009016815A
JP2009016815A JP2008149272A JP2008149272A JP2009016815A JP 2009016815 A JP2009016815 A JP 2009016815A JP 2008149272 A JP2008149272 A JP 2008149272A JP 2008149272 A JP2008149272 A JP 2008149272A JP 2009016815 A JP2009016815 A JP 2009016815A
Authority
JP
Japan
Prior art keywords
film
forming
resist
gas
fine pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008149272A
Other languages
English (en)
Other versions
JP4659856B2 (ja
Inventor
Shigeru Nakajima
滋 中島
Kazuhide Hasebe
一秀 長谷部
Pao-Hwa Chou
保華 周
Mitsuaki Iwashita
光秋 岩下
Reiji Shinno
礼二 新納
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008149272A priority Critical patent/JP4659856B2/ja
Publication of JP2009016815A publication Critical patent/JP2009016815A/ja
Application granted granted Critical
Publication of JP4659856B2 publication Critical patent/JP4659856B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にできる微細パターンの形成方法を提供すること
【解決手段】第1レジストパターン103´及び薄膜102上に、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して薄膜102及び第1レジストパターン103´とは異なるシリコン酸化膜104を形成し、シリコン酸化膜104上に第2レジスト膜を形成し、第2レジスト膜を所定のピッチを持つ第2レジストパターン105に加工し、第1、第2レジストパターン103´及び105´をマスクに用いて薄膜102を加工する。
【選択図】図4

Description

この発明は、半導体プロセスに用いられ、露光装置の解像限界以下のパターンを形成する微細パターンの形成方法に関する。
半導体デバイスの高集積化に伴って、製造プロセスに要求される配線や分離幅は、微細化されてきている。一般的に、微細パターンは、フォトリソグラフィ技術を用いてレジストパターンを形成し、該レジストパターンをエッチングのマスクに用いて下地の各種薄膜をエッチングすることで形成される。
微細パターンを形成するためにはフォトリソグラフィ技術が重要であるが、近時の半導体デバイスの微細化は、フォトリソグラフィ技術の解像限界以下を要求するまでに至っている。
解像限界以下のパターンを形成する技術は、例えば、特許文献1に記載されている。
特許文献1は、第1の感光膜パターン(以下第1のレジストパターンという)を形成し、該第1のレジストパターン上に酸化膜を形成する。この後、第1のレジストパターンどうしの中間に第2の感光膜パターン(以下第2のレジストパターンという)を形成し、第1のレジストパターン及び第2のレジストパターンをエッチングマスクに用いて下地の薄膜をエッチングして微細パターンを形成する。
特許文献1によれば、2つの露光マスクを利用して微細パターンを形成するので、1つの露光マスクを利用して微細パターンを形成する場合に比較して2倍以上の分解能を得ることができる。このため、解像限界以下の微細パターンを形成することができる。
また、レジストパターン上に酸化膜を形成する技術は、例えば、特許文献2に記載されている。
特許文献2は、解像限界以下の微細パターンを形成する方法は記載していないが、レジストパターン上に酸化膜を形成しておくことで、レジストパターンの薄型化現象を防止でき、形成された微細パターンにストリエーションやウィグリングが生ずることを防止できる技術が記載されている。
特許第2757983号公報 特開2004−80033号公報
特許文献1によれば、解像限界以下の微細パターンを形成することはできる。しかしながら、微細パターンのCD均一性の要求は日を追って厳しくなっている。例えば、第1のレジストパターン上に形成する酸化膜の膜厚均一性が良好でないと、微細パターンに対する良好なCDコントロールが困難となる。
特許文献2は、例えば、その段落0010に、レジストパターンに損傷が生じないように、酸化膜は常温〜400℃の間の温度で形成することが望ましいことが記載され、このような酸化膜を実現する手法としてALDを開示している。
しかしながら、特許文献2は、ALDよりも、さらに低温で、かつ、均一な膜厚をもって酸化膜を形成する技術については何等開示していない。
この発明は、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にできる微細パターンの形成方法を提供することを目的とする。
上記課題を解決するために、この発明の第1態様に係る微細パターンの形成方法は、基板上に、薄膜を形成する工程と、前記薄膜上に、第1レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記薄膜上に、前記薄膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記薄膜を加工する工程と、を具備する。
また、この発明の第2態様に係る微細パターンの形成方法は、基板上に、薄膜を形成する工程と、前記薄膜上に、前記薄膜とは異なる膜からなるハードマスク膜を形成する工程と、前記ハードマスク膜上に、第1レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記ハードマスク膜上に、前記ハードマスク膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記ハードマスク膜を加工する工程と、前記加工されたハードマスク膜をマスクに用いて、前記薄膜を加工する工程と、を具備する。
この発明によれば、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にできる微細パターンの形成方法を提供できる。
以下、添付図面を参照してこの発明の実施形態について具体的に説明する。
(第1の実施形態)
図1乃至図6は、この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第1の実施形態は、この発明に係る微細パターンの形成方法の基本的なプロセスフローを例示するものである。
まず、図1に示すように、基板、本例では半導体基板101上に、薄膜102を形成する。また、本明細書においては、半導体基板101は、半導体、例えば、シリコン基板のみを示すものではなく、半導体基板内、又は半導体基板上に形成された半導体素子や集積回路パターンに対応した導電膜、これらを絶縁する層間絶縁膜が形成された構造体を含む、と定義する。薄膜102は後に微細パターンに加工される膜であり、SiNやSiOの絶縁膜であっても良いし、導電性ポリシリコンのような導電膜であっても良い。本例では、一例として、薄膜102をSiNとする。次いで、薄膜102上に反射防止材料を塗布し、反射防止膜(BARC)200を形成する。
次に、図2に示すように、反射防止膜200上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp1を持つレジストパターン103に加工する。本例では、レジストパターン103の一例として、ライン・アンド・スペースパターンとし、所定のピッチp1としては、露光装置の解像限界とする。
次に、図3に示すように、レジストパターン103をトリミングし、トリミングされたレジストパターン103´を得る(本明細書では第1回トリミング処理と呼ぶ)。トリミング処理の条件の一例は、活性化された酸素種、又はオゾンガスを含む雰囲気中、温度は室温〜100℃である。活性化された酸素種の例としては、プラズマにより励起された酸素ラジカル、熱により励起された酸素ラジカル、及び光により励起された酸素ラジカル等を挙げることができる。また、光の例としては、レーザーや、波長350nm以下の紫外線を挙げることができる。
次に、図4に示すように、トリミングされたレジストパターン103´及び反射防止膜200上に、薄膜102、反射防止膜200、及びレジストパターン103´とは異なるシリコン酸化膜104を形成する。シリコン酸化膜104は、後に行われる2回目のフォトリソグラフィ工程から、レジストパターン103´を保護するために形成される。本明細書では、このようなシリコン酸化膜104の形成をハードニング処理と呼ぶ。本実施形態では、ハードニング処理を、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながら、トリミングされたレジストパターン103´及び反射防止膜200上に、シリコン酸化膜104を形成する。ハードニング処理に用いられる活性化された酸素種もまた、上記第1回トリミング処理に用いた活性化された酸素種と同様の酸素種を用いることができる。
次に、図5に示すように、シリコン酸化膜104上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp2を持つレジストパターン105に加工する。本例では、レジストパターン105は、トリミングされたレジストパターン103´と同じライン・アンド・スペースパターンである。また、レジストパターン105の、所定のピッチp2は、露光装置の解像限界とする。さらに、本例のレジストパターン105は、トリミングされたレジストパターン103´間に配置され、レジストパターン103´とレジストパターン105とが交互に配置されるように加工する。
次に、図6に示すように、レジストパターン105をトリミングし、トリミングされたレジストパターン105´を得る(本明細書では第2回トリミング処理と呼ぶ)。トリミング処理の条件の一例は、活性化された酸素種、又はオゾンガスを含む雰囲気中、温度は室温〜100℃である。第2回トリミング処理に用いられる活性化された酸素種もまた、上記第1回トリミング処理に用いた活性化された酸素種と同様の酸素種を用いることができる。第2回トリミング処理により、レジストパターン103´、及び105´からなるレジストパターンが形成される。このレジストパターンは、パターン103´と105´とが交互に配置されたパターンであるので、そのピッチp3は、ピッチp1、及びp2よりも狭いピッチ、本例では、ピッチp1、及びp2のほぼ1/2のピッチとなる。このように、別々に形成されたレジストパターン103´と105´とを交互に配置することで、解像限界以下のピッチを持つレジストパターンを形成することができる。
次に、図7に示すように、レジストパターン103´、及び105´をエッチングのマスクに用いて、反射防止膜200、シリコン酸化膜104、及び薄膜102をエッチングし、薄膜102を所望とする微細パターンに加工する。加工された薄膜102のピッチp4は、レジストパターン103´及び105´のピッチp3とほぼ同じとなるので、薄膜102からなる微細パターンのピッチp4は、解像限界以下にできる。このようにして、第1の実施形態では、解像限界以下のピッチを持つ微細パターンを形成することができる。
さらに、第1の実施形態では、ハードニング処理の際、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して反射防止膜200及びトリミングされたレジストパターン103´上に、シリコン酸化膜104を形成することで、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にすることができる。これについて、以下、詳しく説明する。
図8はシリコン酸化膜104の成膜に使用される成膜装置の一例を示す縦断面図、図9は図8の成膜装置を示す横断面図、図10は本実施形態におけるガスの供給のタイミングを示すタイミングチャートである。なお、図9においては、加熱装置を省略している。
図8及び図9に示すように、成膜装置80は、下端が開口された有天井の円筒体状の処理容器1を有している。この処理容器1の全体は、例えば石英により形成されており、この処理容器1内の天井には、石英製の天井板2が設けられて封止されている。また、この処理容器1の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド3がOリング等のシール部材4を介して連結されている。
上記マニホールド3は処理容器1の下端を支持しており、このマニホールド3の下方から被処理体として多数枚、例えば50〜100枚の半導体ウエハWを多段に載置可能な石英製のウエハボート5が処理容器1内に挿入可能となっている。このウエハボート5は3本の支柱6を有し(図9参照)、支柱6に形成された溝により多数枚のウエハWが支持されるようになっている。
このウエハボート5は、石英製の保温筒7を介してテーブル8上に載置されており、このテーブル8は、マニホールド3の下端開口部を開閉する例えばステンレススチール製の蓋部9を貫通する回転軸10上に支持される。
そして、この回転軸10の貫通部には、例えば磁性流体シール11が設けられており、回転軸10を気密にシールしつつ回転可能に支持している。また、蓋部9の周辺部とマニホールド3の下端部との間には、例えばOリングよりなるシール部材12が介設されており、これにより処理容器1内のシール性を保持している。
上記の回転軸10は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム13の先端に取り付けられており、ウエハボート5および蓋部9等を一体的に昇降して処理容器1内に対して挿脱されるようになっている。なお、上記テーブル8を上記蓋部9側へ固定して設け、ウエハボート5を回転させることなくウエハWの処理を行うようにしてもよい。
また、成膜装置80は、処理容器1内へ酸素含有ガス、例えばOガスを供給する酸素含有ガス供給機構14と、処理容器1内へSiソースガスを供給するSiソースガス供給機構15と、処理容器1内へパージガスとして不活性ガス、例えばNガスを供給するパージガス供給機構16とを有している。
本実施形態においては、シリコン酸化膜104を成膜するためのSiソースガスとして有機シリコンを含むSiソースガスを用いる。有機シリコンを含むSiソースガスの例は、アミノシラン系プリカーサーである。アミノシラン系プリカーサーの例は、1価または2価のアミノシラン系プリカーサーである。1価または2価のアミノシラン系プリカーサーの具体的な例は、例えば、BTBAS(ビスターシャリブチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)、BDEAS(ビスジエチルアミノシラン)、DMAS(ジメチルアミノシラン)、DEAS(ジエチルアミノシラン)、DPAS(ジプロピルアミノシラン)、BAS(ブチルアミノシラン)、DIPAS(ジイソプロピルアミノシラン)、及びBEMAS(ビスエチルメチルアミノシラン)である。
また、アミノシラン系プリカーサーとしては、3価のアミノシラン系プリカーサーを用いることもできる。3価のアミノシラン系プリカーサーの例は、TDMAS(トリジメチルアミノシラン)である。
また、有機シリコンを含むSiソースガスとしては、アミノシラン系プリカーサーの他、エトキシシラン系プリカーサーを用いることもできる。エトキシシラン系プリカーサーの例は、例えば、TEOS(テトラエトキシシラン)である。
酸素含有ガス供給機構14は、酸素含有ガス供給源17と、酸素含有ガス供給源17から酸素含有ガスを導く酸素含有ガス配管18と、この酸素含有ガス配管18に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなる酸素含有ガス分散ノズル19とを有している。この酸素含有ガス分散ノズル19の垂直部分には、複数のガス吐出孔19aが所定の間隔を隔てて形成されており、各ガス吐出孔19aから水平方向に処理容器1に向けて略均一に酸素含有ガス、例えばOガスを吐出することができるようになっている。
また、Siソースガス供給機構15は、Siソースガス供給源20と、このSiソースガス供給源20からSiソースガスを導くSiソースガス配管21と、このSiソースガス配管21に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなるSiソースガス分散ノズル22と、を有している。ここではSiソースガス分散ノズル22は2本設けられており(図9参照)、各Siソースガス分散ノズル22には、その長さ方向に沿って複数のガス吐出孔22aが所定の間隔を隔てて形成されており、各ガス吐出孔22aから水平方向に処理容器1内に略均一に有機シリコンを含むSiソースガスを吐出することができるようになっている。なお、Siソースガス分散ノズル22は1本のみであってもよい。
さらに、パージガス供給機構16は、パージガス供給源23と、パージガス供給源23からパージガスを導くパージガス配管24と、このパージガス配管24に接続され、マニホールド3の側壁を貫通して設けられたパージガスノズル25とを有している。パージガスとしては不活性ガス例えばNガスを好適に用いることができる。
酸素含有ガス配管18、Siソースガス配管21、パージガス配管24には、それぞれ開閉弁18a、21a、24aおよびマスフローコントローラのような流量制御器18b、21b、24bが設けられており、酸素含有ガス、Siソースガスおよびパージガスをそれぞれ流量制御しつつ供給することができるようになっている。
上記処理容器1の側壁の一部には、酸素含有ガスのプラズマを形成するプラズマ生成機構30が形成されている。このプラズマ生成機構30は、上記処理容器1の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長く形成された開口31をその外側より覆うようにして処理容器1の外壁に気密に溶接されたプラズマ区画壁32を有している。プラズマ区画壁32は、断面凹部状をなし上下に細長く形成され、例えば石英で形成されている。また、プラズマ生成機構30は、このプラズマ区画壁32の両側壁の外面に上下方向に沿って互いに対向するようにして配置された細長い一対のプラズマ電極33と、このプラズマ電極33に給電ライン34を介して接続され高周波電力を供給する高周波電源35とを有している。そして、上記プラズマ電極33に高周波電源35から例えば13.56MHzの高周波電圧を印加することにより酸素含有ガスのプラズマが発生し得る。なお、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
上記のようなプラズマ区画壁32を形成することにより、処理容器1の側壁の一部が凹部状に外側へ窪ませた状態となり、プラズマ区画壁32の内部空間が処理容器1の内部空間に一体的に連通された状態となる。また、開口31は、ウエハボート5に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
上記酸素含有ガス分散ノズル19は、処理容器1内を上方向に延びていく途中で処理容器1の半径方向外方へ屈曲されて、上記プラズマ区画壁32内の最も奥の部分(処理容器1の中心から最も離れた部分)に沿って上方に向けて起立されている。このため、高周波電源35がオンされて両電極33間に高周波電界が形成された際に、酸素含有ガス分散ノズル19のガス噴射孔19aから噴射された酸素ガスがプラズマ化されて処理容器1の中心に向けて拡散しつつ流れる。
上記プラズマ区画壁32の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー36が取り付けられている。また、この絶縁保護カバー36の内側部分には、図示しない冷媒通路が設けられており、例えば冷却された窒素ガスを流すことにより上記プラズマ電極33を冷却し得るようになっている。
上記2本のSiソースガス分散ノズル22は、処理容器1の内側壁の上記開口31を挟む位置に起立して設けられており、このSiソースガス分散ノズル22に形成された複数のガス噴射孔22aより処理容器1の中心方向に向けてSiソースガスとして1分子内に1個または2個のアミノ基を有するアミノシランガスを吐出し得るようになっている。
一方、処理容器1の開口31の反対側の部分には、処理容器1内を真空排気するための排気口37が設けられている。この排気口37は処理容器1の側壁を上下方向へ削りとることによって細長く形成されている。処理容器1のこの排気口37に対応する部分には、排気口37を覆うように断面凹部状に成形された排気口カバー部材38が溶接により取り付けられている。この排気口カバー部材38は、処理容器1の側壁に沿って上方に延びており、処理容器1の上方にガス出口39を規定している。そして、このガス出口39から図示しない真空ポンプ等を含む真空排気機構により真空引きされる。そして、この処理容器1の外周を囲むようにしてこの処理容器1およびその内部のウエハWを加熱する筒体状の加熱装置40が設けられている。
成膜装置80の各構成部の制御、例えばバルブ18a、21a、24aの開閉による各ガスの供給・停止、マスフローコントローラ18b、21b、24bによるガス流量の制御、および高周波電源35のオン・オフ制御、加熱装置40の制御等は例えばマイクロプロセッサ(コンピュータ)からなるコントローラ50により行われる。コントローラ50には、工程管理者が成膜装置80を管理するためにコマンドの入力操作等を行うキーボードや、成膜装置80の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース51が接続されている。
また、コントローラ50には、成膜装置80で実行される各種処理をコントローラ50の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置80の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部52が接続されている。レシピは記憶部52の中の記憶媒体に記憶されている。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。
そして、必要に応じて、ユーザーインターフェース51からの指示等にて任意のレシピを記憶部52から呼び出してコントローラ50に実行させることで、コントローラ50の制御下で、成膜装置80での所望の処理が行われる。
次に、以上のように構成された成膜装置を用いて行なわれるシリコン酸化膜104の成膜方法の一例を、図8を参照して説明する。
例えば50〜100枚の半導体ウエハWが搭載された状態のウエハボート5を予め所定の温度に制御された処理容器1内にその下方から上昇させることによりロードし、蓋部9でマニホールド3の下端開口部を閉じることにより処理容器1内を密閉空間とする。ウエハエハWとしては、直径300mmのものが例示される。
そして、処理容器1内を真空ウエハ所定のプロセス圧力に維持するととともに、加熱装置40への供給電力を制御して、ウエハ温度を上昇させてプロセス温度に維持し、ウエハボート5を回転させた状態で成膜処理を開始する。
この際の成膜処理の一例を、図10に示す。本一例は、有機シリコンを含むSiソースガスとして、アミノシランガス、例えば、BTBASを用いた場合であるが、他のアミノシランガスを用いた場合、及びエトキシシランガスを用いた場合においても同様に実施することができる。
図10に示すように、成膜処理の一例においては、有機シリコンを含むSiソースガスを処理容器1内に流してSiソースを吸着させる工程S1と、活性化された酸素種、本例では酸素含有ガスをプラズマ化し、活性化された酸素種としてプラズマにより励起された酸素ラジカルを処理容器1に供給してSiソースガスを酸化させる工程S2とを交互に繰り返す。さらに、本一例おいては、これら工程S1と工程S2との間で処理容器1内から処理容器1内に残留するガスを除去する工程S3を実施する。
具体的には、本一例においては、工程S1において、Siソースガス供給機構15のSiソースガス供給源20からSiソースガスとして1分子内に2個のアミノ基を有するアミノシランガス、例えばBTBASをSiソースガス配管21およびSiソースガス分散ノズル22を介してガス吐出孔22aから処理容器1内にT1の期間供給する。これにより、半導体ウエハにSiソースを吸着させる。このときの期間T1は1〜60secが例示される。また、Siソースガスの流量は10〜500mL/min(sccm)が例示される。また、この際の処理容器1内の圧力は13.3〜665Paが例示される。
工程S2の活性化された酸素種を供給する工程においては、酸素含有ガス供給機構14の酸素含有ガス供給源17から酸素含有ガスとして例えばOガスを酸素含有ガス配管18および酸素含有ガス分散ノズル19を介してガス吐出孔19aから吐出し、このとき、プラズマ生成機構30の高周波電源35をオンにして高周波電界を形成し、この高周波電界により酸素含有ガス、例えばOガスをプラズマ化する。そして、プラズマにより励起された酸素ラジカルを含んでいる酸素含有ガスを処理容器1内に供給する。これにより、半導体ウエハWに吸着されたSiソースが酸化されてSiOが形成される。この処理の期間T2は5〜300secの範囲が例示される。また、酸素含有ガスの流量は半導体ウエハWの搭載枚数によっても異なるが、100〜20000mL/min(sccm)が例示される。また、高周波電源35の周波数は13.56MHzが例示され、パワーとしては5〜1000Wが採用される。また、この際の処理容器1内の圧力は13.3〜665Paが例示される。
この場合に、酸素含有ガスとしては、Oガスの他、NOガス、NOガス、HOガス、Oガスを挙げることができる。これらの酸素含有ガスは、高周波電界を印加してプラズマ化し、プラズマにより励起された酸素ラジカルを含む酸化剤として用いられる。このように酸素含有ガスをプラズマ化し、活性化された酸素種としてプラズマにより励起された酸素ラジカルを含む酸化剤を用いることにより、SiO膜の成膜が300℃以下、さらには100℃以下、理想的には室温でも成膜が可能となる。酸化剤としては活性化された酸素種を含むものであれば、酸素含有ガスをプラズマ化したものに限らない。しかし、活性化された酸素種を含む酸化剤は、酸素含有ガスをプラズマ化して得ることが好ましい。その中でもOガスをプラズマ化して得ることが好ましい。
また、工程S1と工程S2との間に行われる工程S3は、工程S1の後または工程S2の後に処理容器1内に残留するガスを除去して次の工程において所望の反応を生じさせる工程であり、処理容器1内を真空排気しつつパージガス供給機構16のパージガス供給源23からパージガス配管24およびパージガスノズル25を介してパージガスとして不活性ガス例えばNガスを供給することにより行われる。この工程S3の期間T3としては1〜60secが例示される。また、パージガス流量としては50〜5000mL/min(sccm)が例示される。なお、この工程S3は処理容器1内に残留しているガスを除去することができれば、パージガスを供給せずに全てのガスの供給を停止した状態で真空引きを継続して行うようにしてもよい。ただし、パージガスを供給することにより、短時間で処理容器1内の残留ガスを除去することができる。なお、この際の処理容器1内の圧力は13.3〜665Paが例示される。
このようにして、工程S1と工程S2との間に処理容器1内からガスを除去する工程S3を挟んで交互に間欠的にSiソースガスと活性化された酸素種を含む酸化剤、本例ではプラズマにより励起された酸素ラジカルを含む酸化剤とを繰り返し供給することにより、SiO膜の薄い膜を一層ずつ繰り返し積層して所定の厚さとすることができる。
このときの反応例を図11に示す。図11には反応例が模式的に示される。本反応例では、一例としてSiソースガスにBTBASを用いたときを例示する。
図11(a)に示すように、既に堆積されたSiO膜の表面にはOH基が存在しており、そこにSiソースとして例えばBTBASが供給される。そして、Siソースが吸着される工程(工程S1)においては、図11(b)に示すように、BTBASのSiが表面のOH基のOと反応してトリメチルアミノ基を離脱させる。このとき、アミノ基を2個有するアミノシランであるBTBASはOHとの反応性が高く、また、構造的にこのようなSiの反応の障害になり難いため、Siの吸着反応が速やかに進行する。このとき脱離したトリメチルアミノ基は工程S3により処理容器1から除去される。そして、次の酸化工程(工程S2)においては、図11(c)に示すように、トリメチルアミノ基が離脱した後のSi化合物がOガスプラズマのような活性化された酸素種を含む酸化剤によって酸化されてSiOとなる(ただし、表面にはHが吸着してOH基が形成されている)。このように、Oガスプラズマのような活性化された酸素種を含む酸化剤を用いた酸化反応は、純粋な化学的反応と異なり高い温度が不要であるから低温での反応が可能である。
BTBASは、Siソースガスとして用いる1分子内に2個のアミノ基を有するアミノシランガスである。このようなアミノシランガスとしては、上記BTBASの他、BDEAS(ビスジエチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)を挙げることができる。
なお、Siソースガスには、1分子内に3個以上のアミノ基を有するアミノシランガス、を用いることも可能であるし、1分子内に1個のアミノ基を有するアミノシランガスを用いることも可能である。
第1の実施形態は、Siソースとして有機シリコンを含むソースガスを用い、酸化処理において反応が温度を上昇させずに進行するOガスプラズマのような活性化された酸素種を含む酸化剤を用い、これらを交互に供給するので、良好な膜質のSiO膜を100℃以下、さらには室温といった従来では考えられない低温でかつ高い成膜レートで成膜することができる。
このように、本実施形態では、原理的に100℃以下という極低温で成膜することができるが、それよりも高い温度であっても成膜が可能である。ただし、成膜温度が上昇するに従って膜厚ばらつきが大きくなることと、レジストパターン103´に与える熱的な影響とを考慮すると、成膜温度は100℃以下であることが最も好ましい。
次に、第1の実施形態に基づいて実際に成膜した結果について説明する。
まず、酸化剤について実験を行った。SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用い、これらを交互に供給することでSiO膜を成膜した。ここでは、処理容器内に300mmウエハを100枚挿入し、成膜温度を100℃とし、BTBASの供給量を30mL/min(sccm)、圧力を53Paにして工程S1を30sec行い、Oガスの供給量を2000mL/min(sccm)、圧力を665Pa、13.56MHzの高周波パワーを50Wにして工程S2を40sec行い、これを42サイクル繰り返してSiO膜を成膜した。なお、処理容器内のパージのため、工程S1前には処理容器内の真空引きを継続しつつ3500mL/min(sccm)の流量でパージガスとしてNガスを7secの間供給し、工程S2の前には処理容器内の真空引きを継続しつつ3500mL/min(sccm)の流量でパージガスとしてNガスを6secの間供給した。
比較のため、工程S2の酸化処理の際の酸化剤としてOガスをプラズマ化せずに250g/Nmの流量で供給した以外は上記条件と同じにしてSiO膜を成膜した。
その結果、本発明に基づいて酸化剤として活性化された酸素種を含むOガスプラズマを用いた場合には、活性化された酸素種を含まないOガスを用いた場合よりも5倍程度の成膜レート(速度)が得られることが確認された。また、Oガスプラズマを用いた場合には、膜厚の面内ばらつきも極めて小さいことも確認された。
次に、成膜温度について実験を行った。
ここでは、SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用いて、成膜温度以外は上記実験と同様にして成膜を行った。温度は、室温(25℃)、75℃、100℃、200℃、300℃と変化させて実験を行った。
その結果、100℃以下という低温においても高い成膜レートで成膜することができ、室温でも十分に実用的な成膜が可能であることが確認された。また、100℃以下、特に、75℃〜25℃(室温)という低温域においては、高い成膜レートで、均一性の高い膜圧を得られることが確認された。また、成膜温度が300℃を超えると膜厚ばらつきが大きくなり、成膜温度は300℃以下が好ましいことが確認された。特に、レジストパターンの上に成膜する場合には100℃以下が好ましいのは前述した通りである。
次に、ハードニング処理時の不純物濃度を測定した。
ここでは、SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用いて成膜した場合と、Oガスを用いて成膜した場合とで、不純物として炭素C、及び窒素Nの濃度を比較してみた。測定には、二次イオン質量分析(SIMS)を用いた。
その結果を図12に示す。この図に示すように、Oガスプラズマを用いて成膜した場合の炭素Cの濃度、及び窒素Nの濃度を、それぞれ“1”として規格化したとき、Oガスを用いて成膜した場合には、炭素Cの濃度は20倍、窒素Nの濃度は8倍という測定結果が得られた。この結果から、ハードニング処理に、Oガスプラズマを用いて成膜することが、不純物の低減に有効であることが確認された。フォトレジストは一般的にアンモニアに弱く、アンモニアの発生がフォトレジストポイズニングの一因となる。アンモニアの発生を抑制するためには、窒素Nの発生を抑制することが有効である。Oガスプラズマを用いて酸化処理すると、窒素Nの発生量を少なく抑えることができるため、フォトレジストポイズニングを抑制することが可能となる。これは、ハードニング処理に有利である。
このように第1の実施形態によれば、解像限界以下のピッチを持つ微細パターンを形成することができる。これとともに、シリコン酸化膜104を、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して形成することで、レジストパターン103´の耐熱温度以下の極めて低い温度で、かつ、膜厚の制御性も良く形成することができる。さらに、窒素Nの発生を抑制できるため、フォトレジストポイズニングを抑制することも可能となる。この結果、シリコン酸化膜104の膜厚を分子レベルで制御することができ、CD制御が容易となり、CD均一性の確保が良好になされる。
このようにして形成された薄膜102の微細パターンは、例えば、トランジスタのゲート電極、又はチャネル領域に利用することができる。また、トランジスタのような能動素子に限らず、半導体メモリのビット線のように、高密度に配置される配線や、各種の集積回路パターンに利用することができる。また、薄膜102の微細パターンは、半導体素子を分離する素子分離領域や、あるいは半導体素子を形成する素子領域にも利用することができる。
(第2の実施形態)
図13は、この発明の第2の実施形態に係る微細パターンの形成方法の主要な製造工程を示す断面図である。
図13に示すように、第2の実施形態は、第1の実施形態において説明した第1回トリミング処理とハードニング処理とを同じ成膜装置の中で連続処理するようにしたものである(in-situ処理)。
トリミング処理はエッチング装置またはアッシング装置等を用いて行われ、これに対してハードニング処理は成膜装置を用いて行われている。このため、トリミング処理を終えた半導体基板(ウエハ)は、アッシング装置から一旦引き出されたのち、成膜装置へと搬送されるようになっている。
このように、トリミング処理後、ウエハが装置から引き出されてしまうため、レジストパターン103´の表面に埃等が付着してしまう可能性がある。レジストパターン103´の表面に埃等が付着してしまうと、レジストパターン103´上に形成されるシリコン酸化膜104においては、欠陥密度が増加し、またその膜厚の均一性が損なわれやすくなる。
これに対して、第2の実施形態によれば、トリミング処理を、成膜装置を用いて行うようにし、かつ、トリミング処理とハードニング処理とを同じ成膜装置の中で連続処理するようにする。これにより、レジストパターン103´の表面を清浄に保ったまま、シリコン酸化膜104を成膜でき、その欠陥密度を低減させるとともに、膜厚の均一性を高めることが可能となる、という利点も得ることができる。
さらに、二つの処理を同一装置内で連続的に行うことにより搬送や待機時間(Queuing−time)を低減して、生産効率を向上させてコストを低減できるという効果もある。
この第2の実施形態に係る微細パターンの形成方法に使用される成膜装置の一例を図14に示す。
図14に示すように、第2の実施形態に係る微細パターンの形成方法に使用される成膜装置80´の基本構成は図8に示した成膜装置と同様であり、特に、酸素含有ガス供給機構14と、Siソースガス供給機構15と、プラズマ生成機構30を備えているので、第1の実施形態において説明したシリコン酸化膜104の成膜と同様の成膜を行うことができる。
さらに、成膜装置80´は、オゾン含有ガス供給機構14´を備えている。オゾン含有ガス供給機構14´はオゾン含有ガス供給源17´を備え、このオゾン含有ガス供給源17´は、流量制御器18d及び開閉弁18cを介して、例えば、酸素含有ガス配管18に接続されている。これにより、オゾン含有ガスを処理容器1内に供給することができる。
トリミング処理の際には、オゾン含有ガス、例えば、オゾンガスを用いる。オゾンガスを用いてトリミング処理するときにはプラズマを生成しなくても良い。このため、オゾン含有ガスは、例えば、プラズマ生成機構30においてはプラズマ電極33に高周波電圧を印加しない状態で、分散ノズル19を介して処理容器1内に供給するようにすればよい。
図14に示す成膜装置80´によれば、酸素含有ガス供給機構14、Siソースガス供給機構15及びプラズマ生成機構30に加えてオゾン含有ガス供給機構14´を備えているので、処理容器1内においてオゾン含有ガスを用いてレジストパターン103´をトリミング処理した後、同じ処理容器1内において有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながらシリコン酸化膜104を成膜することができる。よって、レジストパターン103´の表面を清浄に保ったまま、第1の実施形態において説明した方法にてシリコン酸化膜104を成膜でき、第1の実施形態に比較して、シリコン酸化膜104の欠陥密度を低減させるとともに、膜厚の均一性を高めることができる、という利点を得ることができる。
(第3の実施形態)
図15乃至図23は、この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第3の実施形態は、この発明に係る微細パターンの形成方法を、実際の半導体プロセスに、より好適に適用できる一例を示すものである。
まず、図15に示すように、第1の実施形態と同様に、半導体基板101上に、薄膜102、例えば、導電性シリコン膜を形成したのち、薄膜102上に、ハードマスク膜106を形成する。ハードマスク膜106は薄膜102と異なる膜からなり、かつ、薄膜102に対してエッチング選択比をとれる膜からなる。例えば、薄膜102が導電性シリコン膜の場合には、ハードマスク膜106には、例えば、シリコン窒化膜などが選ばれる。次いで、ハードマスク膜106上に、反射防止膜200を形成する。
次に、図16に示すように、反射防止膜200上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp1を持つレジストパターン103に加工する。本例では、第1の実施形態と同様に、レジストパターン103の一例として、ライン・アンド・スペースパターンとし、所定のピッチp1としては、露光装置の解像限界とする。
次に、図17に示すように、レジストパターン103をトリミングし、トリミングされたレジストパターン103´を得る(第1回トリミング処理)。トリミング処理の条件は、第1の実施形態と同様の条件で良い。
次に、図18に示すように、トリミングされたレジストパターン103´及び反射防止膜200上にシリコン酸化膜104を形成する(ハードニング処理)。第2の実施形態においてもシリコン酸化膜104の形成は第1の実施形態と同様であり、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながら形成される。
次に、図19に示すように、シリコン酸化膜104上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜、所定のピッチp2を持つレジストパターン105に加工する。本例では、レジストパターン105は、トリミングされたレジストパターン103´と同じライン・アンド・スペースパターンである。また、レジストパターン105の、所定のピッチp2は、露光装置の解像限界とする。さらに、本例のレジストパターン105は、トリミングされたレジストパターン103´間に配置され、レジストパターン103´とレジストパターン105とが交互に配置されるように加工する。
次に、図20に示すように、レジストパターン105をトリミングし、トリミングされたレジストパターン105´を得る(第2回トリミング処理)。トリミング処理の条件は、第1の実施形態と同様の条件で良い。第2回トリミング処理により、レジストパターン103´、及び105´からなるレジストパターンが形成され、第1の実施形態と同様に、解像限界以下のピッチを持つレジストパターンが形成される。
次に、図21に示すように、レジストパターン103´及び105´をエッチングのマスクに用いて反射防止膜200、及びシリコン酸化膜104をエッチングし、さらに、図22に示すようにハードマスク膜106をエッチングする。
次に、図23に示すように、反射防止膜200、シリコン酸化膜104、レジストパターン103´及び105´を除去した後、ハードマスク膜106をエッチングのマスクに用いて薄膜102をエッチングし、薄膜102を所望とする微細パターンに加工する。ハードマスク膜106のピッチp3´はレジストパターン103´及び105´のピッチp3とほぼ同じであり、解像限界以下である。よって、ハードマスク膜106をエッチングのマスクに用いてエッチングされた薄膜102のピッチp4は解像限界以下になる。このようにして、第1の実施形態では、解像限界以下のピッチを持つ微細パターンを形成することができる。
第3の実施形態においても、ハードニング処理の際、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給してシリコン酸化膜104を形成するので、第1の実施形態と同様に、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にすることができる。
さらに、第3の実施形態によれば、薄膜102を、ハードマスク膜106をエッチングのマスクに用いてエッチングするので、レジストパターン103´及び105´をエッチングのマスクに用いてエッチングする場合に比較して、膜厚が厚い薄膜102を加工できる、という利点を得ることができる。
また、第3の実施形態は第2の実施形態と組み合わせることが可能であり、第1回トリミング処理(図17参照)とハードニング処理(図18参照)とを、同じ成膜装置の中で連続処理することができる。この場合には、第2の実施形態と同様に、レジストパターン103´の表面を清浄に保ったまま、シリコン酸化膜104を成膜できるので、シリコン酸化膜104の膜厚の均一性を、より高めることが可能となる。
(第4の実施形態)
図24乃至図28は、この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
まず、図1乃至図4を参照して説明した方法に従って、図24に示す構造を得る。
次に、図25に示すように、シリコン酸化膜104上に反射防止材料を塗布し、反射防止膜(BARC)201を形成する。この際、反射防止材料は、トリミングされたレジストパターン103´によって生じた凹凸が反射防止材料によって埋め込まれるように厚く塗布する。これにより、シリコン酸化膜104上には、下層の凹凸を埋め込み、かつ、上面が平坦とされた反射防止膜201が形成される。
次に、図26に示すように、反射防止膜201上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp2を持つレジストパターン105に加工する。本例においても、レジストパターン105は、トリミングされたレジストパターン103´と同じライン・アンド・スペースパターンである。また、レジストパターン105の、所定のピッチp2は、露光装置の解像限界とする。さらに、本例のレジストパターン105は、トリミングされたレジストパターン103´間上に配置され、レジストパターン103´とレジストパターン105とが交互に配置されるように加工する。
次に、図27に示すように、レジストパターン105をトリミングし、トリミングされたレジストパターン105´を得る(第2回トリミング処理)。トリミング処理の条件は、第1の実施形態と同様の条件で良い。これにより、反射防止膜201上に、トリミングされたレジストパターン105´を備え、かつ、反射防止膜201内に、トリミングされたレジストパターン103´を備えた構造が得られる。
次に、図28に示すように、レジストパターン105´をエッチングのマスクに用いて反射防止膜201をエッチングする。反射防止膜201のエッチングを進行させると、レジストパターン103´上に形成されたシリコン酸化膜104が露出する。引き続きエッチングを続行し、露出したシリコン酸化膜104をエッチングする。これにより、レジストパターン103´が露出する。露出したレジストパターン103´と、及び反射防止膜201上のレジストパターン105´とをエッチングのマスクに用いつつ、引き続きエッチングを続行し、反射防止膜201、シリコン酸化膜104、反射防止膜200、及び薄膜102をエッチングする。これにより、薄膜102は、所望とする微細パターンに加工される。この後、薄膜102上から、レジストパターン103´、105´シリコン酸化膜104、反射防止膜200、201を除去することで、図28に示す構造が得られる。
このような第4の実施形態によれば、反射防止膜201を備えているので、レジストパターン105を精度良く形成できる、という利点を得ることができる。
しかも、本例の上記反射防止膜201は上面が平坦化されているので、上記レジストパターン105は、さらに精度良く形成できる。
よって、第4の実施形態によれば、薄膜102からなり、解像限界以下のピッチを持つ微細パターンのCD均一性を、さらに良好にできる。
第4の実施形態は、上記第2の実施形態や第3の実施形態と組み合わせて実施することが可能である。
以上、本発明をいくつかの実施形態を参照して説明したが、本発明は上記実施形態に限定されることなく、種々変形可能である。例えば、上記実施形態では本発明を複数の半導体ウエハを搭載して一括して成膜を行うバッチ式の成膜装置に適用した例を示したが、これに限らず、1枚のウエハ毎に成膜を行う枚葉式の成膜装置に適用することもできる。
さらに、上記実施形態は、2枚から15枚程度の少量一括処理を目的としたセミ・バッチ方式、又はミニ・バッチ方式の成膜装置に適用することができる。
また、エトキシシランガス、及びアミノシランガスとしては、上記実施形態に示したものに限らない。また、活性化された酸素種として種々の酸素含有ガスプラズマを例示したが、これに限らず、ラジカル化している酸素を含むものであれば適用可能である。
さらに、上記実施形態においては、Siソースガスと活性化された酸素種とを完全に交互に供給したが、Siソースガスを供給するときにも活性化された酸素種を供給するようにしてもよい。
また、上記実施形態においては、酸化シリコン膜を低温成膜する例について説明したが、酸化シリコン膜の他、窒化シリコン膜や、炭化シリコン膜なども成膜することができる。
さらに、上記実施形態においては、プラズマを形成する機構を処理容器に一体的に組み込んだ例について説明したが、これに限定されず、処理容器とは別体で設け処理容器の外で予めプラズマ化して処理容器に導入するリモートプラズマ装置を用いてもよい。
さらに、被処理体としては、半導体ウエハに限定されず、LCDガラス基板等の他の基板にも本発明を適用することができる。
さらに、フォトレジスト膜を形成する前に、反射防止膜200、201を形成したが、反射防止膜200、201は必要に応じて形成されれば良い。
さらに、レジストパターン103、及び105のトリミングも、必要に応じてなされれば良い。
この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法に使用される成膜装置の一例を示す縦断面図 シリコン酸化膜104を形成するための成膜装置の一例を示す横断面図 シリコン酸化膜104を形成するための成膜方法におけるガスの供給のタイミングを示すタイミングチャート シリコン酸化膜105の成膜方法を実施する際の反応を説明するための模式図 ガスプラズマを用いて成膜した場合と、Oガスを用いて成膜した場合とで、不純物量を比較した図 この発明の第2の実施形態に係る微細パターンの形成方法の主要な製造工程を示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法に使用される成膜装置の一例を示す縦断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図
符号の説明
101;半導体基板
102;薄膜
103;フォトレジストパターン
103´;トリミングされたフォトレジストパターン
104;シリコン酸化膜
105;フォトレジストパターン
105´;トリミングされたフォトレジストパターン
106;ハードマスク膜
200、201;反射防止膜

Claims (18)

  1. 基板上に、薄膜を形成する工程と、
    前記薄膜上に、第1レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、
    有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記薄膜上に、前記薄膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、
    前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、
    前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記薄膜を加工する工程と、
    を具備することを特徴とする微細パターンの形成方法。
  2. 基板上に、薄膜を形成する工程と、
    前記薄膜上に、前記薄膜とは異なる膜からなるハードマスク膜を形成する工程と、
    前記ハードマスク膜上に、第1レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、
    有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記ハードマスク膜上に、前記ハードマスク膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、
    前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、
    前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記ハードマスク膜を加工する工程と、
    前記加工されたハードマスク膜をマスクに用いて、前記薄膜を加工する工程と、
    を具備することを特徴とする微細パターンの形成方法。
  3. 前記第1レジスト膜を形成する工程の前に、前記ハードマスク膜上に、第1反射防止膜を形成する工程、をさらに具備することを特徴とする請求項2に記載の微細パターンの形成方法。
  4. 前記第1レジスト膜を形成する工程の前に、前記ハードマスク膜上に、第1反射防止膜を形成する工程と、
    前記第2レジスト膜を形成する工程の前に、前記シリコン酸化膜上に、第2反射防止膜を形成する工程と、をさらに具備することを特徴とする請求項2に記載の微細パターンの形成方法。
  5. 前記第2反射防止膜の上面が平坦化されることを特徴とする請求項4に記載の微細パターンの形成方法。
  6. 前記第1レジスト膜を前記第1レジストパターンに加工する工程の後に、前記第1レジストパターンをトリミングする工程と、
    前記第2レジスト膜を前記第2レジストパターンに加工する工程の後に、前記第2レジストパターンをトリミングする工程と、をさらに具備することを特徴とする請求項1乃至請求項5いずれか一項に記載の微細パターンの形成方法。
  7. 前記第1レジストパターンをトリミングする工程と、前記シリコン酸化膜を形成する工程とを、同一の成膜装置内で連続して行うことを特徴とする請求項6に記載の微細パターンの形成方法。
  8. 前記第1レジストパターンをトリミングする工程と前記第2レジストパターンをトリミングする工程とのうち、少なくとも一方は酸素含有ガスプラズマ、またはオゾンガスを用いてレジストパターンをトリミングすることを特徴とする請求項6又は請求項7に記載の微細パターンの形成方法。
  9. 前記ソースガスとして、アミノシラン系プリカーサーを用いることを特徴とする請求項1乃至請求項8いずれか一項に記載の微細パターンの形成方法。
  10. 前記アミノシラン系プリカーサーは、1価または2価のアミノシラン系プリカーサーであることを特徴とする請求項9に記載の微細パターンの形成方法。
  11. 前記1価または2価のアミノシラン系プリカーサーは、
    BTBAS(ビスターシャリブチルアミノシラン)、
    BDMAS(ビスジメチルアミノシラン)、
    BDEAS(ビスジエチルアミノシラン)、
    DMAS(ジメチルアミノシラン)、
    DEAS(ジエチルアミノシラン)、
    DPAS(ジプロピルアミノシラン)、
    BAS(ブチルアミノシラン)、
    DIPAS(ジイソプロピルアミノシラン)、
    BEMAS(ビスエチルメチルアミノシラン)、
    から選択された少なくとも1種を用いることを特徴とする請求項10に記載の微細パターンの形成方法。
  12. 前記活性化された酸素種として、プラズマにより励起された酸素ラジカルを用いることを特徴とする請求項1乃至請求項11いずれか一項に記載の微細パターンの形成方法。
  13. 前記プラズマにより励起された酸素ラジカルは、Oガス、NOガス、NOガス、HOガス、Oガスのいずれかから選択される少なくとも1種をプラズマ化して得ることを特徴とする請求項12に記載の微細パターンの形成方法。
  14. 前記シリコン酸化膜は真空保持可能な処理容器内で形成され、前記ソースガスを前記処理容器内へ供給する工程と、前記活性化された酸素種を前記処理容器内へ供給する工程とを交互に実施して形成することを特徴とする請求項1乃至請求項13いずれか一項に記載の微細パターンの形成方法。
  15. 前記ソースガスを前記処理容器内へ供給する工程と、前記活性化された酸素種を前記処理容器内へ供給する工程との間に、前記処理容器内に残留しているガスを除去する工程を挿入することを特徴とする請求項14に記載の微細パターンの形成方法。
  16. 前記処理容器内に残留しているガスを除去する工程は、前記処理容器内を真空引きしながら前記処理容器内にパージガスを導入することを特徴とする請求項15に記載の微細パターンの形成方法。
  17. 前記シリコン酸化膜を形成する際の成膜温度が前記レジスト膜の耐熱温度以下であることを特徴とする請求項1乃至請求項16いずれか一項に記載の微細パターンの形成方法。
  18. 前記成膜温度は100℃以下であることを特徴とする請求項17に記載の微細パターンの形成方法。
JP2008149272A 2007-06-08 2008-06-06 微細パターンの形成方法 Active JP4659856B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008149272A JP4659856B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007153184 2007-06-08
JP2008149272A JP4659856B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010289449A Division JP5156086B2 (ja) 2007-06-08 2010-12-27 微細パターンの形成方法

Publications (2)

Publication Number Publication Date
JP2009016815A true JP2009016815A (ja) 2009-01-22
JP4659856B2 JP4659856B2 (ja) 2011-03-30

Family

ID=40093792

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008149272A Active JP4659856B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法
JP2010289449A Active JP5156086B2 (ja) 2007-06-08 2010-12-27 微細パターンの形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010289449A Active JP5156086B2 (ja) 2007-06-08 2010-12-27 微細パターンの形成方法

Country Status (5)

Country Link
US (2) US7989354B2 (ja)
JP (2) JP4659856B2 (ja)
KR (3) KR101101785B1 (ja)
TW (2) TW200917335A (ja)
WO (1) WO2008149988A1 (ja)

Cited By (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272641A (ja) * 2009-05-08 2009-11-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体装置
JP2009272558A (ja) * 2008-05-09 2009-11-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、半導体装置及び半導体製造装置
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
JP2010212371A (ja) * 2009-03-09 2010-09-24 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
JP2010219105A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
JP2010219106A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
JP2010238750A (ja) * 2009-03-30 2010-10-21 Fujifilm Corp パターン形成方法
JP2010245518A (ja) * 2009-04-01 2010-10-28 Asm Japan Kk 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
JP2011082352A (ja) * 2009-10-07 2011-04-21 Tokyo Electron Ltd 塗布現像装置及び塗布現像方法
JP2011176177A (ja) * 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
JP2011228707A (ja) * 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
CN102630335A (zh) * 2010-05-07 2012-08-08 东京毅力科创株式会社 半导体装置的制造方法以及半导体装置的制造装置
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
JP2013178574A (ja) * 2013-05-23 2013-09-09 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法および基板処理装置
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2017204159A1 (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 被処理体を処理する方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
WO2018008640A1 (ja) * 2016-07-08 2018-01-11 東京エレクトロン株式会社 被処理体を処理する方法
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
KR20180025202A (ko) * 2016-08-29 2018-03-08 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
JP2019041021A (ja) * 2017-08-25 2019-03-14 東京エレクトロン株式会社 被処理体を処理する方法
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US12107005B2 (en) 2021-10-01 2024-10-01 Asm Ip Holding B.V. Deposition method and an apparatus for depositing a silicon-containing material

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
JP4659856B2 (ja) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 微細パターンの形成方法
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8004045B2 (en) * 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5236716B2 (ja) * 2008-09-29 2013-07-17 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP2010161162A (ja) * 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR101662702B1 (ko) * 2009-12-31 2016-10-06 삼성전자 주식회사 반도체 소자의 제조 방법
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
TWI471669B (zh) * 2012-11-15 2015-02-01 Chunghwa Picture Tubes Ltd 窄間距線路之形成方法
JP2016541119A (ja) * 2013-12-05 2016-12-28 東京エレクトロン株式会社 直流重ね合わせフリーズ
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
US9165765B1 (en) 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
JP6249970B2 (ja) * 2015-01-30 2017-12-20 東京エレクトロン株式会社 半導体装置の製造方法
JP6559430B2 (ja) 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
WO2017170411A1 (ja) 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6895352B2 (ja) 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196321A (ja) * 1990-11-28 1992-07-16 Hitachi Ltd 成膜方法および装置
JPH09205081A (ja) * 1995-12-29 1997-08-05 Hyundai Electron Ind Co Ltd 半導体素子の微細パターン形成方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62259445A (ja) * 1986-05-06 1987-11-11 Hitachi Ltd パタ−ン形成方法
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
JP3014334B2 (ja) * 1996-11-29 2000-02-28 キヤノン販売株式会社 半導体装置の製造方法
JPH11135628A (ja) * 1997-10-31 1999-05-21 Nippon Steel Corp 半導体装置の製造方法
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
KR100343144B1 (ko) * 1999-10-06 2002-07-05 윤종용 원자층 증착법을 이용한 박막 형성 방법
US6365428B1 (en) * 2000-06-15 2002-04-02 Sandia Corporation Embedded high-contrast distributed grating structures
KR20030003906A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 반도체 소자의 콘택 형성방법 및 그에 따라 제조된 반도체메모리 소자
TW527647B (en) * 2002-02-06 2003-04-11 Jusung Eng Co Ltd Thin film deposition method
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR20070003336A (ko) * 2005-07-01 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4193866B2 (ja) * 2006-04-27 2008-12-10 住友電気工業株式会社 半導体発光素子の製造方法
JP2008240077A (ja) * 2007-03-28 2008-10-09 Canon Anelva Corp Ald装置及びこれを用いた成膜方法
JP4659856B2 (ja) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 微細パターンの形成方法
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196321A (ja) * 1990-11-28 1992-07-16 Hitachi Ltd 成膜方法および装置
JPH09205081A (ja) * 1995-12-29 1997-08-05 Hyundai Electron Ind Co Ltd 半導体素子の微細パターン形成方法

Cited By (477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009272558A (ja) * 2008-05-09 2009-11-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法、半導体装置及び半導体製造装置
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010212371A (ja) * 2009-03-09 2010-09-24 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
US8491804B2 (en) 2009-03-13 2013-07-23 Tokyo Electron Limited Substrate processing method
JP2010219105A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
JP2010219106A (ja) * 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法
JP2010238750A (ja) * 2009-03-30 2010-10-21 Fujifilm Corp パターン形成方法
JP2010245518A (ja) * 2009-04-01 2010-10-28 Asm Japan Kk 低温でプラズマ励起原子膜の成膜によりシリコン酸化膜を成膜する方法
KR101680379B1 (ko) 2009-04-01 2016-11-28 에이에스엠 저펜 가부시기가이샤 저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
JP2009272641A (ja) * 2009-05-08 2009-11-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体装置
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8568043B2 (en) 2009-10-07 2013-10-29 Tokyo Electron Limited Coating and developing apparatus and coating and developing method
JP2011082352A (ja) * 2009-10-07 2011-04-21 Tokyo Electron Ltd 塗布現像装置及び塗布現像方法
JP2011176177A (ja) * 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
JP2011228707A (ja) * 2010-04-14 2011-11-10 Asm Genitech Korea Ltd 半導体素子の微細パターン形成方法
CN102630335A (zh) * 2010-05-07 2012-08-08 东京毅力科创株式会社 半导体装置的制造方法以及半导体装置的制造装置
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US9384987B2 (en) 2012-04-04 2016-07-05 Asm Ip Holding B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US9605342B2 (en) 2012-09-12 2017-03-28 Asm Ip Holding B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2013178574A (ja) * 2013-05-23 2013-09-09 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法および基板処理装置
US9790595B2 (en) 2013-07-12 2017-10-17 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9412564B2 (en) 2013-07-22 2016-08-09 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9891521B2 (en) 2014-11-19 2018-02-13 Asm Ip Holding B.V. Method for depositing thin film
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9899405B2 (en) 2014-12-22 2018-02-20 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
JP2017212331A (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 被処理体を処理する方法
TWI753913B (zh) * 2016-05-25 2022-02-01 日商東京威力科創股份有限公司 被處理體的處理方法
WO2017204159A1 (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 被処理体を処理する方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10692726B2 (en) 2016-07-08 2020-06-23 Tokyo Electron Limited Method for processing workpiece
WO2018008640A1 (ja) * 2016-07-08 2018-01-11 東京エレクトロン株式会社 被処理体を処理する方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
JP2018006706A (ja) * 2016-07-08 2018-01-11 東京エレクトロン株式会社 被処理体を処理する方法
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
KR102528430B1 (ko) 2016-08-29 2023-05-03 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US11380551B2 (en) 2016-08-29 2022-07-05 Tokyo Electron Limited Method of processing target object
JP2018037453A (ja) * 2016-08-29 2018-03-08 東京エレクトロン株式会社 被処理体を処理する方法
US11658036B2 (en) 2016-08-29 2023-05-23 Tokyo Electron Limited Apparatus for processing substrate
KR20180025202A (ko) * 2016-08-29 2018-03-08 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP2019041021A (ja) * 2017-08-25 2019-03-14 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12055863B2 (en) 2020-07-17 2024-08-06 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12106944B2 (en) 2021-05-28 2024-10-01 Asm Ip Holding B.V. Rotating substrate support
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12107005B2 (en) 2021-10-01 2024-10-01 Asm Ip Holding B.V. Deposition method and an apparatus for depositing a silicon-containing material
US12106965B2 (en) 2022-07-22 2024-10-01 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US12107000B2 (en) 2023-04-19 2024-10-01 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same

Also Published As

Publication number Publication date
KR101217778B1 (ko) 2013-01-02
JP4659856B2 (ja) 2011-03-30
US20110237082A1 (en) 2011-09-29
KR101101785B1 (ko) 2012-01-05
US7989354B2 (en) 2011-08-02
WO2008149988A1 (ja) 2008-12-11
KR20090096408A (ko) 2009-09-10
TWI498941B (zh) 2015-09-01
TW201145355A (en) 2011-12-16
TWI364783B (ja) 2012-05-21
KR20110117226A (ko) 2011-10-26
KR20110036129A (ko) 2011-04-06
US20100130015A1 (en) 2010-05-27
JP5156086B2 (ja) 2013-03-06
TW200917335A (en) 2009-04-16
JP2011082560A (ja) 2011-04-21
KR101073858B1 (ko) 2011-10-14
US8383522B2 (en) 2013-02-26

Similar Documents

Publication Publication Date Title
JP4659856B2 (ja) 微細パターンの形成方法
JP4589984B2 (ja) 微細パターンの形成方法
US11881379B2 (en) Film deposition apparatus for fine pattern forming
US7696106B2 (en) Film formation method and apparatus for semiconductor process
US8349544B2 (en) Method of manufacturing semiconductor device
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
JP5682290B2 (ja) 炭素含有薄膜のスリミング方法及び酸化装置
US20100233885A1 (en) Substrate processing method
JP6124477B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
US20110039389A1 (en) Manufacturing method of semiconductor device
JP5519059B2 (ja) 半導体デバイスの製造方法および基板処理装置
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101130

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101227

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4659856

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250