KR101680379B1 - 저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법 - Google Patents

저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법 Download PDF

Info

Publication number
KR101680379B1
KR101680379B1 KR1020100028336A KR20100028336A KR101680379B1 KR 101680379 B1 KR101680379 B1 KR 101680379B1 KR 1020100028336 A KR1020100028336 A KR 1020100028336A KR 20100028336 A KR20100028336 A KR 20100028336A KR 101680379 B1 KR101680379 B1 KR 101680379B1
Authority
KR
South Korea
Prior art keywords
thin film
temperature
silicon oxide
deposition
cycle
Prior art date
Application number
KR1020100028336A
Other languages
English (en)
Other versions
KR20100109855A (ko
Inventor
타카히로 오카
아키라 시미쯔
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20100109855A publication Critical patent/KR20100109855A/ko
Application granted granted Critical
Publication of KR101680379B1 publication Critical patent/KR101680379B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 플라즈마인가원자막증착법(PEALD, plasma enhanced atomic layer deposition)에 의해 기판 위의 레지스트 패턴 또는 에칭된 라인 위에 산화규소(SiO2)박막을 증착시키는 방법에 있어서, 기판 위에 레지스트 패턴 또는 에칭된 라인을 PEALD 반응장치에서 형성하는 제1단계; 증착 온도로서 상기 기판이 위치한 서셉터(susceptor)의 온도를 50℃ 또는 그 이하로 제어하는 제2단계; 증착온도가 50℃ 이하의 온도에서 안정적으로 제어되는 동안 PEALD 반응장치(PEALD reactor)에 실리콘 함유 전구체(silicon-containing precursor) 및 산소 공급 반응제(oxygen-supplying reactant)를 도입하고, RF전력을 일 사이클 내에서 공급하여 SiO2원자층을 상기 레지스트 패턴 또는 상기 에칭된 라인 위에 형성하는 제3단계; 및 상기 사이클을 일정한 온도에서 반복 수행하여 상기 레지스트 패턴 또는 상기 에칭된 라인 위에 SiO2원자층을 형성하는 제4단계;를 포함하는 것을 특징으로 하는 기판 위의 레지스트 패턴 또는 에칭된 라인 위에 SiO2박막을 증착시키는 방법에 관한 것이다.

Description

저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법 {Method of Depositing Silicon Oxide Film by Plasma Enhanced Atomic Layer Deposition at Low Temperature}
본 발명은 플라즈마 인가 원자층 증착법(PEALD; Plasma Enhanced Atomic Layer Deposition, 이하 PEALD)에 의해 산화규소박막을 증착시키는 방법에 대한 것으로, 특히 플라즈마 인가 원자층 증착방법에 의한 박막 형성 과정 중에 손상에 의해 레지스트(resist) 등의 유기막(Organic film)의 변형이 발생하는 것을 방지하는 방법에 대한 발명이다.
SiO2 원자막증착법(atomic layer deposition)은 종래 박막을 증착하기 위해 300℃ 정도의 고온을 필요로 하였으나 최근 장비의 고집적화에 수반된, 리소그래피(lithography) 해상도 이상의 미세화에 대응하기 위해 레지스트 위에 등각SiO2박막(Conformal SiO2 Film)을 증착시킬 요구가 증가하였다. 그러나 다양한 종류의 모든 레지스트는 대부분이 유기체이므로 고온에서는 소실되는 문제가 있었다.
상기와 같이 종래의 PEALD 방식에 의해 SiO2박막을 증착시키는 방법은 300℃ 정도의 고온에서 박막을 증착하는 것이었으나 이로 인해 대부분이 유기체인 레지스트가 고온에서는 소실되는 문제점이 있었다. 본 발명이 이루고자 하는 기술적 과제는 상기의 문제점을 해결하기 위한 것으로 저온에서 SiO2박막을 레지스트 위에 증착시킬 수 있도록 하여 PEALD를 통해 기판(substrate) 위에 적층 구조를 형성하는 과정에 있어서 기판 위의 유기막이 손상되어 형상이 변형되는 것을 방지하고, 그럼으로써 리소그래피보다 고도의 미세한 패턴(pattern)을 만들 수 있도록 하는 것에 있다. 또한 박막증착온도와 박막증착속도 간의 관계를 제시하여 박막의 두께와 박막증착시간을 제어하는 것을 현저하게 간단하게 하고 생성량(throughput)을 향상시키는 것을 또 다른 목적으로 한다. 또한 종래의 모든 서셉터히터(susceptor heater)가 50℃ 미만에서 온도 제어가 불가능하여 50℃ 미만의 온도에서 박막을 증착하려면 히터를 종료하고 실온 하에서 프로세스를 진행할 수 밖에 없었다. 따라서 약 20℃ 내지 30℃ 정도의 실온에서 프로세스를 진행하므로 온도의 안정성 및 반복가능성을 얻을 수 없었던 문제점이 있었다. 상기 문제의 해결을 위해 본 발명은 서셉터(susceptor) 내부에 냉각재가 순환되는 칠러유닛(chiller unit)을 구비한 구조로 되어 있어 종래보다 낮은 온도의 제어가 가능해져 반복가능성이 우수한 저온 박막 증착이 가능해졌다.
본 발명은 상기와 같은 문제점을 해결하기 위하여 플라즈마 인가 원자층 증착법(PEALD)에 의한 기판 위에 형성된 레지스트 패턴(pattern) 또는 에칭(etching)된 라인(line) 위에 산화규소박막을 증착시키는 방법에 있어서 다음과 같은 단계로 구성된 것을 특징으로 갖는다.
레지스트 패널 또는 에칭된 라인이 형성된 기판을 PEALD 반응장치 내에 제공하는 단계; 기판이 위치한 서셉터의 온도를 증착온도로 50℃ 이하로 제어하는 단계; 증착온도가 50℃ 미만의 일정한 온도로 제어되는 동안 일 사이클 내에서 PEALD 반응장치(PEALD reactor)에 실리콘 함유 전구체 및 산소 공급 반응제(O2 supplying reactant)를 도입하고 RF전력을 공급하여 산화규소원자막(atomic layer)을 레지스트 패턴 또는 에칭된 라인 위에 형성하는 단계; 및 상기 사이클을 일정한 온도에서 여러번 반복 수행하여 레지스트 패턴 또는 에칭된 라인 위에 산화규소원자막을 형성하는 단계.
본 발명의 일실시예에 있어서, 상기 증착 온도는 40℃ 이하의 일정한 온도 또는 30℃ 이하의 일정한 온도로 제어되는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 상기 사이클 내에서 실리콘 함유 전구체의 초과분을 제거하기 위해 PEALD 반응장치에 퍼지가스(purge gas)를 도입할 수도 있다.
상기의 실시예에 있어서, 상기 사이클 내에서 제1 펄스에 실리콘 함유 전구체가 도입되고, 제2 펄스에 RF전력이 공급되며, 상기 제1 펄스와 제2 펄스는 중첩되지 않는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 산소 공급 반응제가 일정하게 도입되는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 상기 사이클에서 퍼지가스가 일정하게 PEALD 반응장치 내에 도입되는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 상기 실리콘 함유 전구체를 아미노 시레인(Amino Silane)으로 하는 것을 특징으로 한다. 또한 다른 실시예에 있어서는 상기 아미노 시레인 가스는 비스디에틸아미노시레인 (BDEAS, bisdiethylaminosilane), 비스에틸메틸아미노시레인 (BEMAS, bisethylmethylaminosilane), 트리스디에틸아미노시레인 (3DMA, trisdimethylaminosilane) 및 헥사키스에틸아미노시레인 (HEAD, hexakisethylaminosilane) 중의 어느 하나인 것을 특징으로 한다.
상기의 실시예에 있어서, 산소 공급 가스는 산소 기체로 할 수 있다.
상기의 실시예에 있어서, 퍼지 가스를 희(稀)가스(rare gas)로 할 수 있다. 다른 실시예에 있어선 상기 퍼지 가스로 아르곤(Ar) 또는 헬륨(He) 가스를 사용할 수 있다.
상기의 실시예에 있어서, 상기 사이클의 지속시간이 1.5초 내지 3.0초인 것을 특징으로 하는 것이다. 다른 실시예에서는 약 1초 내지 약 5초 사이의 간격을 두는 것이다.
상기의 실시예에 있어서, SiO2층의 성장률을 0.120nm/사이클 이상으로 하는 것을 특징으로 한다. 다른 실시예에 있어서 SiO2층의 성장률을 약 0.125 nm/사이클 내지 약 0.145nm/사이클로 하는 것이다.
상기의 실시예에 있어서, 서셉터의 온도는 수식 y=-0.0005x + 0.1397에 따라서 세팅하는 것을 특징으로 한다. 여기서 y는 성장률(nm), x는 증착온도(℃)이다. 다른 실시예에선 성장률(nm) y'를 y±10%로 할 수도 있다.
상기의 실시예에 있어서, 증착 온도는 서셉터 내에 형성된 유로(流路, flow channel)를 통해 냉각재를 유동, 통과시켜 조정되는 것을 특징으로 한다.
상기의 실시예에 있어서, RF전력은 커패시티 결합된 평행 전극(capacitively coupled parallel electrodes)을 이용하여 공급되며, 여기서 서셉터가 낮은 전극으로 기능하면서 일(一) 기판을 고정시키고 있는 것을 특징으로 한다.
상기의 실시예에 있어서, 상기 방법은 기판이 PEALD 반응장치 내에 제공되기 전에 다음의 단계를 추가적으로 포함할 수 있다. 이산화규소층의 성장률과 증착 온도간의 관계를 규정하는 표준곡선(standard curve)을 구하는 단계; 하나의 사이클 내에서 SiO2층의 성장률을 설정하는 단계; 및 표준곡선을 이용해서 설정된 성장률을 기본으로 하여 증착온도를 구하는 단계.
상기 단계를 포함하는 박막 증착 방법에 있어서 일실시예는 상기 표준곡선은 y = -0.0005x + 0.1397인 것을 특징으로 할 수 있다. 여기서 y는 성장률(nm) 이고 x는 증착온도(℃)이다.
본 발명은 PEALD를 통해 기판 위에 적층 구조를 형성하는 과정에 있어서 기판 위의 유기막이 손상되어 형상이 변형되는 것을 방지하고, 그럼으로써 리소그래피보다 고도의 미세한 패턴을 만들 수 있는 것을 특징으로 한다. 또한 본 발명은 등각산화규소박막이 빠른 박막증착속도로 증착될 수 있는 것을 특징으로 한다. 상기에 있어서 산화규소박막은 PEALD에 의해 증착된 패턴화된 유기막 위에 성막(成膜)되는데, 이 경우 박막증착속도는 박막증착온도가 낮은 범위 내에서는 박막증착온도에 대해 일차함수 관계가 성립되어 이를 통해서 상기 박막증착속도를 제어할 수 있다는 특징이 있다. 이 경우 PEALD에 의한 SiO2박막증착프로세스 중의 유기막의 훼손과 변성은 50℃ 이하, 바람직하게는 약 40℃ 이하, 더욱 바람직하게는 30℃ 이하의 일정한 범위로 박막증착온도를 제어함으로써 효과적으로 방지될 수 있다. 발명자가 실험한 바에 의하면, 몇몇 경우에는 50℃에서도 손상에 의해 레지스트의 형태가 변형된다. 그러므로 저온의 박막증착온도에서 성막할 필요가 있다. 약 30℃ 또는 그 이하의 온도에서는 레지스트의 종류에 무관하게 실질적으로 상기 손상을 방지할 수 있다. 상기 온도 범위 내에서는 박막증착속도는 박막증착온도에 완벽한 일차함수 또는 거의 일차함수에 가까운 함수 관계로 성립하고 상기 함수에 따라 상기 박막증착속도를 제어하는 것이 가능해진다. 이러한 경우에서 박막의 두께와 박막증착시간을 제어하는 것은 현저하게 간단해지고, 생성량(throughput)이 향상된다. 상기에 있어서 박막증착속도는 놀랍게도 박막증착온도와 음(-)의 경사값을 갖는 일차함수의 관계가 성립된다는 것이다.
한편 PEALD를 이용한 SiO2박막증착에 있어서, 일(一) ALD(Atomic Layer Deposition) 사이클 중에 실리콘 함유 전구체(silicon-containing precursor)가스의 도입과 RF전력(RF power)의 추가를 각각 일 펄스(pulse)로 하고, 산소 공급 가스의 도입과 퍼지가스(Purge Gas)의 도입 및 배출이 일정하게 진행되도록 한다. 즉, 일 사이클 내에서 실리콘을 공급하는 전구체 기체의 도입 펄스와 RF 전력 공급 펄스의 길이 및 각 펄스 간의 인터벌을 제어하는 방법으로 (본 발명에 대한 실시예에서는 오직 상기 두 펄스를 제어하는 것에 의해서) PEALD에 의한 SiO2박막증착을 제어할 수 있다는 것이다.
또한 만약 50℃ 이하의 저온에서 SiO2박막을 증착시키는데 PEALD 방법을 사용한다면 종래의 서셉터히터를 이용해서 온도 제어하는 것은 곤란하였다. 종래의 어떤 서셉터히터도 대략 50℃ 정도가 온도 제어가 가능한 하한이며 따라서 그 미만의 온도에서 박막을 증착하려면 히터를 종료하여 실온 하에서 프로세스를 진행해야 했다. 히터 또는 다른 유사 장치를 사용하지 않는 때는 약 20℃ 내지 30℃ 정도의 실온에서 프로세스를 진행하게 되므로 온도의 안정성과 반복가능성은 얻을 수 없었다. 종래의 서셉터히터를 이용한 온도 제어 방법에 있어 50℃ 미안의 온도 제어가 불가능한 문제에 대하여 본 발명은 서셉터 내부에 냉각재가 순환되는 칠러유닛을 구비한 구조로 되어 있어 가열과 냉각을 가능하게 하였고, 이러한 방법에서는 예를 들어, -10℃에서 50℃(또는 -10℃에서 80℃)에서의 온도 제어가 가능해져 반복가능성이 우수한 저온 박막 증착이 가능해졌다.
본 발명의 개별 특징들과 본 발명이 관련 기술에 기여하는 장점에 대해 요약하기 위해 본 명세서에서는 본 발명의 목적과 이점을 언급할 것이다. 물론, 특정 실시예에 따라서 상기 목적과 이점 모두가 당연히 달성되는 것은 아니라는 점을 이해해야 할 것이다. 따라서 예를 들어, 당업자는 여기서 언급된 하나 또는 여러 이점을 성취하거나 최적화하였다고 해서 여기서 언급된 다른 목적이나 이익도 당연히 성취되는 것은 아니라는 태도로 상기 발명을 구현하거나 실시한다는 것을 인식할 것이다.
이 발명에 대한 그 외의 측면, 특징, 이점들은 아래의 상세한 설명을 통해 명확히 할 것이다.
본 발명의 다양한 특징은 최선 실시예를 도시한 도면을 참조하면서 기술할 것이다. 이러한 실시예는 발명에 대해 도시하기 위한 것일 뿐 발명을 제한하기 위한 것은 아니다. 도면은 도시화할 목적으로 극단적으로 단순화한 것이므로 불필요하게 치수를 표기하지는 않는다.
도 1은 본 발명의 실시예에 따른 성장률(nm/사이클)과 SiO2 ALD박막 증착 온도(℃) 간의 관계를 도시하는 그래프이다.
도 2는 본 발명의 실시예에 따른 성장률(nm/사이클)과 SiO2 ALD 박막의 증착 온도(℃)간의 관계 및 기존 방법에 의한 성장률(nm/사이클)과 금속 ALD 박막의 증착 온도(℃)간의 관계를 도시하는 그래프이다.
도 3은 본 발명의 실시예에 따라 PEALD에 의한 SiO2 ALD 박막을 증착시키는 일 사이클에 대한 시계열표이다.
도 4a 내지 도 4f는 본 발명의 실시예에 따른 SiO2 ALD 박막을 이용한 콘택트 어레이(contact array)의 더블 패터닝(double patterning)에 대한 도안이다.
도 5a 와 도 5b는 본 발명의 실시예에 따라 각각 냉각부분이 결합된 서셉터에 대한 평면도 및 단면도이다.
도 6은 PEALD에 의한 SiO2 ALD 박막의 증착 온도에 따른 레지스트 형태의 변화에 대한 도식이다.
본 발명은 다음의 실시예를 포함하고 있으나, 이에 의해 제한되는 것은 아니다.
본 발명은 상기와 같은 문제점을 해결하기 위하여 플라즈마 인가 원자층 증착법(PEALD)에 의한 기판 위에 형성된 레지스트 패턴(pattern) 또는 에칭(etching)된 라인(line) 위에 산화규소박막을 증착시키는 방법에 있어서 다음과 같은 단계로 구성된 것을 특징으로 갖는다.
(1) 레지스트 패널 또는 에칭된 라인이 형성된 기판을 PEALD 반응장치 내에 제공하는 단계; (2) 기판이 위치한 서셉터의 온도를 증착온도로 50℃ 이하로 제어하는 단계; (3) 증착온도가 50℃ 미만의 일정한 온도로 제어되는 동안 일 사이클 내에서 PEALD 반응장치(PEALD reactor)에 실리콘 함유 전구체 및 산소 공급 반응제(O2 supplying reactant)를 도입하고 RF전력을 공급하여 산화규소원자막(atomic layer)을 레지스트 패턴 또는 에칭된 라인 위에 형성하는 단계; 및 (4) 상기 사이클을 일정한 온도에서 여러번 반복 수행하여 레지스트 패턴 또는 에칭된 라인 위에 산화규소원자막을 형성하는 단계.
본 발명의 일실시예에 있어서, 상기 증착 온도는 40℃ 이하의 일정한 온도 또는 30℃ 이하의 일정한 온도로 제어되는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 상기 사이클 내에서 실리콘 함유 전구체의 초과분을 제거하기 위해 PEALD 반응장치에 퍼지가스(purge gas)를 도입할 수도 있다.
상기의 실시예에 있어서, 상기 사이클 내에서 제1 펄스에 실리콘 함유 전구체가 도입되고, 제2 펄스에 RF전력이 공급되며, 상기 제1 펄스와 제2 펄스는 중첩되지 않는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 산소 공급 반응제가 일정하게 도입되는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 상기 사이클에서 퍼지가스가 일정하게 PEALD 반응장치 내에 도입되는 것을 특징으로 할 수 있다.
상기의 실시예에 있어서, 상기 실리콘 함유 전구체를 아미노 시레인(Amino Silane)으로 하는 것을 특징으로 한다. 또한 다른 실시예에 있어서는 상기 아미노 시레인 가스는 비스디에틸아미노시레인 (BDEAS, bisdiethylaminosilane), 비스에틸메틸아미노시레인 (BEMAS, bisethylmethylaminosilane), 트리스디에틸아미노시레인 (3DMA, trisdimethylaminosilane) 및 헥사키스에틸아미노시레인 (HEAD, hexakisethylaminosilane) 중의 어느 하나인 것을 특징으로 한다.
상기의 실시예에 있어서, 산소 공급 가스는 산소 기체로 할 수 있다.
상기의 실시예에 있어서, 퍼지 가스를 희(稀)가스(rare gas)로 할 수 있다. 다른 실시예에 있어선 상기 퍼지 가스로 아르곤(Ar) 또는 헬륨(He) 가스를 사용할 수 있다.
상기의 실시예에 있어서, 상기 사이클의 지속시간이 1.5초 내지 3.0초인 것을 특징으로 하는 것이다. 다른 실시예에서는 약 1초 내지 약 5초 사이의 간격을 두는 것이다.
상기의 실시예에 있어서, SiO2층의 성장률을 0.120nm/사이클 이상으로 하는 것을 특징으로 한다. 다른 실시예에 있어서 SiO2층의 성장률을 약 0.125 nm/사이클 내지 약 0.145nm/사이클로 하는 것이다.
상기의 실시예에 있어서, 서셉터의 온도는 수식 y=-0.0005x + 0.1397에 따라서 세팅하는 것을 특징으로 한다. 여기서 y는 성장률(nm), x는 증착온도(℃)이다. 다른 실시예에선 성장률(nm) y'를 y±10%로 할 수도 있다.
상기의 실시예에 있어서, 증착 온도는 서셉터 내에 형성된 유로(流路, flow channel)를 통해 냉각재를 유동, 통과시켜 조정되는 것을 특징으로 한다.
상기의 실시예에 있어서, RF전력은 커패시티 결합된 평행 전극(capacitively coupled parallel electrodes)을 이용하여 공급되며, 여기서 서셉터가 낮은 전극으로 기능하면서 일(一) 기판을 고정시키고 있는 것을 특징으로 한다.
상기의 실시예에 있어서, 상기 방법은 기판이 PEALD 반응장치 내에 제공되기 전에 다음의 단계를 추가적으로 포함할 수 있다. (1) 이산화규소층의 성장률과 증착 온도간의 관계를 규정하는 표준곡선(standard curve)을 구하는 단계; (2) 하나의 사이클 내에서 SiO2층의 성장률을 설정하는 단계; 및 (3) 표준곡선을 이용해서 설정된 성장률을 기본으로 하여 증착온도를 구하는 단계.
상기 단계를 포함하는 박막 증착 방법에 있어서 일실시예는 상기 표준곡선은 y = -0.0005x + 0.1397인 것을 특징으로 할 수 있다. 여기서 y는 성장률(nm) 이고 x는 증착온도(℃)이다.
이하에서는 전형적인 실시예를 통해 본 발명을 설명할 것이다. 그러나 아래의 설명은 본 발명을 제한하려는 목적은 아니다.
당해 발명에 대한 실시예에 있어서의 한가지 특징은 박막증착온도를 제어하기 위한 장치 구성(system configuration)과 관련이 있다는 것이다. 종래의 서셉터히터로는 약 50℃ 정도가 온도 제어의 한계여서 만약 박막을 더 낮은 온도에서 증착시킬 경우엔 히터의 전원을 종료하고 실내 온도에서 프로세스를 실행해야 했다. 히터 또는 다른 유사 장치를 사용하지 않는 때는 약 20℃ 내지 30℃ 정도의 상온에서 프로세스를 진행하므로 온도안정성과 반복가능성은 얻을 수 없었다. 그러므로 칠러유닛에 의해 순환되는 냉각재를 이용하여 가열과 냉각이 가능한 서셉터를 도입한다면, -10℃ ~ 80℃의 범위에서 온도를 제어할 수 있게 되고, 반복가능성이 양호해지고 저온에서 박막을 증착시킬 수 있게 된다.
본 발명의 실시예의 또 하나의 특징은 유기막 또는 다른 종류의 레지스트 위에 박막이 증착될 때 발생하는 레지스트의 손상을 방지할 수 있다는 점이다. 박막 증착 온도를 저하시킴으로써 종래 고온하의 ALD-SiO2프로세스에서 발생하던 레지스트 위에 박막 증착에 의한 레지스트 손상 및 손실을 방지할 수 있게 된다.
본 발명의 실시예에 있어서 또 다른 특징은 박막 성장 속도가 향상된다는 점이다. 박막증착온도를 낮춤에 따라 박막증착속도를 향상시키는 것이 가능해진다. 결과적으로 생성량도 향상시키는 것이 가능해진다.
이하 본 발명의 장치 구성에 대해 설명한다. 그렇지만 본 발명은 다음 내용에 의해 한정하려는 것은 아님을 밝혀둔다. 도 5a는 칠러유닛이 장비된 서셉터의 일례를 보여주는 평면도이고, 도 5b는 도 5a를 선분 5b에 따라 절단했을 때의 종단면도이다. 상기 칠러유닛이 장비된 서셉터는 냉각재(일 예로 에틸렌글리콜(ethylene glycol))가 상부판(51)과 서셉터(53) 사이에서 흐를 수 있도록 도면 5a와 같은 나선형으로 구성된 홈(54)이 형성된 판(52)을 구비하여 냉각재가 상기 홈 사이로 흘러 순환된다. 냉각재는 판의 중심 근처에 형성된 입구(56)로 유입되어 나선형의 홈을 통해 외측 방향으로 나선형으로 이동하고, 외각 둘레 근처에 배치된 홈의 말단(57)으로부터 판의 중앙 부근에 배치된 배출구(55)로 이동하여 방출된다. 냉각기(chiller)를 사용하면 -10℃ ~ 80℃ 범위의 온도 조절이 가능해진다. 박막증착온도의 범위를 -10℃ ~ 80℃의 범위까지 확장함으로써 다양한 유기막에 의한 손상을 방지할 수 있다. 실시예에 있어서 온도는, 유기막에 대한 손상을 방지하기 위한 관점에서, 50℃미만, 바람직하게는 40℃ 이하, 또는 더욱 바람직하게는 30℃이하에서 조정된다. (또는 다른 실시예에서는 20℃ 이하, 10℃ 이하 또는 0℃이하에서 조정된다). 냉각재의 유동속도 및 유동량은 상부판의 온도가 소망하는 일정 온도가 되도록 적절하게 조정할 수 있다.
냉각재가 흘러가는 유로는 중앙에서 외부로 흘러가는 나선형의 형상으로 제한되는 것은 아니다. 외부에서 중앙으로 흘러들어오는 나선형 형상을 가질 수도 있고 또는 외각의 일방 말단에서 타방 말단으로 진행되는 지그재그 형태로 형성될 수도 있다. 또는 중앙에서 외부 말단으로 복수의 냉각재 유로를 방사형으로 형성할 수도 있다. 상기 실시예에서 냉각재 유로의 총길이는 적어도 원주의 두 배 또는 바람직하게는 세 배 이상이 된다.
온도를 낮춤으로써 레지스트의 형태 변화를 개선될 수 있으므로 -10℃ 미만으로 감온(感溫)하는 것도 가능할 것이다. 그러나 만약 온도를 -10℃ 미만으로 낮춘다면 칠러유닛 대신에 거대한 규모의 냉각 장치가 필요하게 될 것이다.
냉각재에 관하여 선택, 사용함에 있어서 적절한 것은 다음과 같다. 에틸렌글리콜(ethylene glycol), 글리세린 그외 다른 부동액, 기체(실온 이상), 물(0℃ 이상), 질소기체, 액체질소, 이산화탄소, 암모니아, 액체헬륨, 수소, 프로판, 부탄, 이소부탄 또는 다른 탄화수소 기체(hydrocarbon gas), CFCs, 할로겐화 탄화수소 등이 선택, 사용하기에 적절할 것이다.
냉각방법에 있어서 선택, 사용함에 있어서 적절하다고 판단되는 것은 다음과 같다. 칠러에 의해 순환되는 냉각재에 의한 냉각 방법, 펠티에(Peltier) 소자를 이용한 전자적 냉각 방법, 질소 기체를 이용한 강제공급(force-feed) 냉각 방법 등이 선택, 사용하기에 적절할 것이다.
실시예에 있어서 SiO2박막 증착을 위해 온도 외의 요소는 아래와 같이 설정될 수 있다. 예를 들어,
RF전력(13.56 MHz): 20~100W
박막증착압력: 약 400 Pa
실리콘함유전구체의 유량(流量) : 300~500 sccm
산소 유량: 100~1000 sccm
퍼지가스(일례로 Ar) 유량 : 약 1500sccm
실시예에 있어서 압력은 약 100~1000Pa 정도 내에서 선택할 수 있고, 퍼지가스의 유량은 1000 ~ 2500sccm의 정도 내에서 선택할 수 있다.
도 3은 PEALD 사이클에 대한 시계열 차트의 한 예를 도시한 것이다. 먼저 퍼지가스의 반응장치 내로의 유입이 안정화된 후 퍼지가스는 일정 유량으로 반응장치 내에서 상시 흐르도록 한다. 다음으로 산소반응기체가 일정 유량으로 지속적으로 반응장치로 도입되는 동안, 단일 펄스로 하여 실리콘 함유 전구체를 공급한다. 실리콘 함유 전구체 펄스가 정지되었을 때, 단일 펄스로 하여 RF전력을 공급한다. 실리콘 함유 전구체펄스와 RF전력펄스는 서로 중첩되지 않는다. 퍼지가스의 지속적인 유입과 동일하게 반응장치는 항상 배기되어 일정압력이 유지된다. 실리콘 함유 전구체가 펄스로 도입되는 동안에도 퍼지가스는 지속적으로 유입되나 상기 실리콘 함유 전구체 펄스가 정지한 후에는 퍼지가스와 산소반응가스만이 유입되고 실질적으로 실리콘 함유 전구체가 기판 표면에서 퍼지(purge)될 수 있다. 도 3은 하나의 사이클을 보여주고 있고, 일 사이클은 약 1.5~3초 정도 소요된다. 도 1에서 보이듯이 일 사이클로 얻을 수 있는 SiO2박막의 두께는 대략 완전하게 일차함수의 형태로 의존하는바, 박막증착온도가 감온되면 박막증착속도는 증가한다. 실시예에 있어서 박막증착속도는 박막증착온도가 약 40℃ 이하인 때 약 0.12 nm/사이클 이상(예를 들어 0.12~0.15nm/사이클)이다.(후술하는 일례 참조) 상기 PEALD 사이클에 대해서, 실시예에선 산소반응기체와 퍼지가스가 펄스로 도입되는 것도 가능하다. 또한 각각의 가스는 한가지 형태의 기체만으로 구성될 뿐만 아니라 여러 종류로 혼합된 혼합 가스 또한 사용 가능하다.
놀랍게도 상기에서 설명한 PEALD에 의해 SiO2박막을 형성하는 경우에 있어서, 박막증착속도와 박막증착온도는 대략 완벽하게 음의 경사도를 갖는 일차함수의 관계를 갖는다. 그리고 이것은 명백히 종래의 PEALD에 의한 금속막의 증착속도와 차이가 있다. 도 2에서는 금속막(W)에 대한 PEALD에 의한 박막증착속도와 박막증착온도 간의 관계에 대해 보여주고 있다. 박막증착온도가 상승함에 따라 박막증착속도 역시 상승한다. 또한 원자막을 형성하기 위해서 비교적 높은 온도에서 처리할 필요가 있으며, 여기서 온도는 통상 200℃ 이상(전형적으로 300℃ 이상)이다. 만약 온도가 200℃ 이하로 하강한다면 박막증착속도 역시 명백하게 감소한다. 한편 PEALD에 의한 SiO2박막에서는 박막증착속도는 저온에선 증가되고 승온(昇溫)될수록 저하된다. 더구나 100℃를 초과하는 온도에선 박막증착속도와 박막증착속도의 관계는 일차함수 관계로부터 멀어진다.
도1에 도시된 바와 같이 박막증착속도와 박막증착온도와의 관계는 y=-0.0005x+0.1397의 관계를 만족한다. 여기서 y는 박막증착속도를, x는 박막증착온도를 나타낸다. 먼저 이와 같은 표준곡선을 사전에 구함으로써 기대 수준의 박막의 두께를 얻기 위해 필요한 온도와 시간과의 관계를 정확하게 파악하는 것이 가능하고 정확한 박막 증착을 용이하게 제어할 수 있다. 예를 들어 기대 수준의 박막 두께와 박막증착온도가 설정되면 박막증착시간은 정확하게 계산할 수 있다. 또한 만약 박막 증착 사이클이 일정할 때는 기대 수준의 박막 두께를 얻기 위해 필요한 박막증착온도는 정확하게 계산할 수 있다. 목적에 따라 차이가 있으나 실시예에 의하면, SiO2 박막 두께는 약 5~40nm정도이고, 사이클수는 40~330 정도가 된다.
본 출원서는 상태 또는 구조가 특정되지는 않았으나 당업자는 본 출원서의 검토와 통상적인 실험을 통해서 상태 또는 구조를 쉽게 정할 수 있을 것이다.
본 발명은 구체적인 일례를 통해 상세하게 설명될 것이다. 다만 이런 예들은 본 발명을 제한하기 위한 것은 아니다. 각 일례에 적용된 숫자들은 적어도 ±50%의 범위에 의해 수정될 수 있다. 상기 범위의 각 마지막 값은 포함될 수도 있고 배제될 수도 있다.
이하 본 발명의 구체적인 일례에 대한 설명이다.
기판 위의 유기막에 있어서 ArF 레이져용 포토레지스트는 아크릴레이트(acrylate) 또는 메타크릴레이트 에스테르(metacrylate ester) 중합체 또는 공중합체(共重合體 copolymer)의 알킬(alkyl) 치환체을 기반으로 조성된 구조를 갖는 세 종류의 포토레지스트 A, B, C(라인의 폭은 40nm이고, 두께는 120nm이다)를 형성하고, 그 위에 SiO2박막을 PEALD 방식으로 증착시킨다. 막을 형성하는 조건은 다음과 같다.
실리콘 함유 전구체 : BDEAS
실리콘 함유 전구체의 유량 : 500sccm
실리콘 함유 전구체의 펄스 : 0.5초
산소 유량 : 600sccm
아르곤(Ar) 유량 : 1.5sccm
RF 전력(13.56 MHz): 50W
RF 전력 펄스 : 0.3초
실리콘 함유 전구체 펄스와 RF전력펄스 간의 간격 (RF전력이 공급되기 전에 퍼지): 0.3초
RF전력펄스와 실리콘 함유 전구체 펄스 간의 간격 (RF전력이 공급된 후의 퍼지): 0.3초
박막증착압력 : 400Pa
사이클 사이의 간격 : 1.5초
서셉터에 대해선 도 5a와 도 5b에 도시된 것이 사용된다. 에틸렌글리콜이 냉각재로 사용되고, 칠러유닛에서 냉각재의 유량 및 온도를 조정해서, 서셉터 온도(상판면의 표면 온도)를 80℃, 50℃ 및 30℃로 제어할 수 있다. 박막의 두께는 각각 9nm로 정해진다. 박막증착온도와 박막증착속도의 관계가 도 1에 도시된 것으로 성립되는 이상, 각 온도에 있어서의 박막증착시간은 고도로 정확하게 설정될 수 있다.
도 6은 절단면을 관찰함으로써 그 결과를 평가하기 위한 도식이다. PEALD에 의해 SiO2박막을 형성하는 동안 필름증착온도에 따라 유기막 또는 포토레지스트의 변형이 발생한다는 것이 관찰 가능하다. 구체적으로 포토레지스트B는 SiO2박막증착온도가 80℃ 또는 50℃인 경우에 거의 완전히 소실된다. 포토레지스트C는 SiO2박막온도가 50℃인 경우 완전히 소실되지는 않으나 대부분 소실되었고 현저하게 형상이 변형되었다. 포토레지스트A는 SiO2필름증착온도가 50℃인 경우에도 거의 원형을 유지하고 있었다. 이런 식으로 특정 종류의 포토레지스트에 대해서 SiO2박막온도가 약 50℃인 경우에는 현저한 형상 변형이 발생하였다. 한편으로는, 모든 포토레지스트에 대해서 SiO2박막증착온도가 30℃인 경우에 거의 원형을 유지하였으며 어떠한 변형도 발생하지 않았다.
종래 ALD에서 박막증착온도가 300℃ 또는 그 이상인 고온 프로세스이고, 여기서 유기막과 다른 레지스트 패턴은 소멸된다. 또한 PEALD에 의해 약 50℃ 정도에서 박막 증착을 할 수 있었음에도 불구하고 기존의 가열 서셉터로는 안정적인 온도 제어가 어려웠고 저온에서는 사용할 수 없었다. 따라서 ALD/PEALD 방식은 특정한 레지스트를 사용하는 어플리케이션(application)에는 사용할 수 없는 문제점이 있었다. 본 발명의 실시예에 따라 냉각재가 순환되는 서셉터를 사용함으로써 -10℃ ~ 80℃의 박막증착온도에서 유기막 또는 다른 종류의 레지스트의 손상을 유발하는 변형을 개선하고 소멸을 방지할 수 있다. 특히 박막증착온도를 50℃ 이하로 낮추거나 바람직하게는 40℃ 또는 그 이하로 제어함으로써 레지스트의 손상을 확실히 믿을 수 있을 만큼 억제할 수 있다.
이러한 SiO2박막은, 스페이서(spacer) 기술에 적절하게 적용될 수 있고, 더블패터닝(double patterning)에 응용하는 것도 가능하다. 도 4a 내지 도 4f는 이런 일례를 도시한 것이다. 여기서 SiO2박막은 네가티브 모드(negative mode)에서 더블패터닝 스페이서(double patterning spacer)로 기능하고, 패턴 변환자(transfer)를 위한 에칭 마스크로 사용될 수 있다. 도 4a에 도시된 바와 같이 기판(41)에서 패터닝층(42, patterning layer, 예를 들어 폴리실리콘(poly-silicon))이 형성되고 상기 패터닝층(42) 최상단에 유기막으로 된 포토레지스트를 사용함으로써 라인 또는 에칭된 라인(43)이 형성된다. 도 4b에서는 스페이서 재료(44, spacer material)로서 SiO2박막을, 본 발명의 실시예에 따라 PEALD를 통하여 포토레지스트 라인 또는 에칭된 라인(43) 및 패터닝층(42)의 최상단에 형성시킨다. 도 4c에 도시된 바에 따라 상기 스페이서 재료(44)는 에칭되어 라인(43)의 최상단이 스페이서 재료(44)로부터 노출되고 라인(43) 사이에서 패터닝층(42)이 노출되어 상기 스페이서 재료(44)가 라인(42)의 양 측벽을 제외하고 제거된 상태(45)를 갖게 된다. 도 4d에 의하면, 상기 라인(43)은 제거되서 오직 라인의 양 측벽에 잔존하는 스페이서 재료(45)만 남게 되는 상태(46)가 된다. 도 4e에 도시된 바에 따라 상기 스페이서 재료(46)를 하드 마스크(hard mask)로 사용하여 패터닝층(42)를 에칭시키고, 패턴(47)을 형성시킨다. 이때 스페이서 물질은 부분적으로 패턴(47)의 최상단에 잔존하게 된다. 도 4f에 도시된 바와 같이, 상기 잔존 스페이서 재료(48)은 제거되고 패터닝은 완료된다. 본 발명의 상기 실시예에 의하면 유기막인 포토레지스트 물질(43)은 PEALD에 의한 SiO2박막형성과정 중에는 훼손되지 않아 상기 물질의 변형을 억제하는 것이 가능하게 된다. 결과적으로 스페이서 패턴은 SiO2박막을 사용하여 우수한 해상도를 가지도록 에칭될 수 있다. 더블패터닝에 있어서 유기체 필름이 변형을 수반하지 않는다는 것은 중요하기에 본 발명의 실시예를 적용하는 것은 매우 효과적인 것이다. 당업자들은 본 발명의 실시예에 따라 형성된 SiO2박막을 본 명세서에 개시된 정보에 기초한 다른 패터닝 기술 및 스페이서 기술에도 마찬가지로 적용할 수 있고 실시 가능할 것이다.
당업자들은 본 발명의 기본 개념으로부터 벗어나지 않는 한 많고 다양한 개선안을 만들 수 있다는 것을 이해할 것이다. 그러므로 본 발명의 형상은 도식적으로 설명하기 위한 것일 뿐 본 발명을 제한하기 위한 의도는 명백히 아니다.

Claims (18)

  1. 플라즈마 인가 원자층 증착법(PEALD, plasma enhanced atomic layer deposition)에 의해 기판(substrate) 위에 형성된 레지스트 패턴(resist pattern) 또는 에칭(etch)된 라인 위에 산화규소박막(SiO2박막)을 증착시키는 방법에 있어서,
    레지스트 패턴 또는 에칭된 라인이 형성된 기판을 PEALD 반응장치에 제공하는 단계;
    증착 온도로서 상기 기판이 위치한 서셉터(susceptor)의 온도를 30℃ 이하로 제어하는 단계;
    증착온도가 40℃ 이하의 일정한 온도에서 제어되는 동안 일 사이클 내에서 상기 PEALD 반응장치(PEALD reactor)에 실리콘 함유 전구체(silicon-containing precursor) 및 산소 공급 가스를 도입하고 RF전력을 공급하여 산화규소층을 상기 레지스트 패턴 또는 상기 에칭된 라인 위에 증착하는 단계; 및
    상기 사이클을 일정한 온도에서 반복 수행하여 상기 레지스트 패턴 또는 상기 에칭된 라인 위에 상기 산화규소박막을 형성하는 단계를 포함하고,
    상기 산화규소층의 성장률은 상기 증착 온도에 대해 음의 경사도에 기초한 일차 함수의 형태로, 상기 증착 온도는 칠러유닛에 의해 순환되는 냉각재를 이용하여 가열과 냉각에 의해 제어되며, 상기 성장률은 하나의 사이클에서 얻어지는 상기 산화규소층의 두께인 것을 특징으로 하는 산화규소박막 증착 방법
  2. 청구항 제1항에 있어서, 상기 증착 온도는 30℃ 이하로 제어되는 것을 특징으로 하는 산화규소박막 증착 방법
  3. 청구항 제2항에 있어서, 상기 증착 온도는 20℃ 이하로 제어되는 것을 특징으로 하는 산화규소박막 증착 방법
  4. 청구항 제1항에 있어서, 상기 사이클 내에서 상기 실리콘 함유 전구체의 초과분을 제거하기 위해 퍼지가스가 상기 PEALD 반응장치 내로 도입되는 것을 특징으로 하는 산화규소박막 증착 방법
  5. 청구항 제1항에 있어서, 상기 사이클 내에서 제1 펄스에 실리콘 함유 전구체가 도입되고, 제2 펄스에 RF전력이 공급되며, 상기 제1 펄스와 제2 펄스는 중첩되지 않는 것을 특징으로 하는 산화규소박막 증착 방법
  6. 청구항 제5항에 있어서, 상기 산소 공급 가스가 일정하게 도입되는 것을 특징으로 하는 산화규소박막 증착 방법
  7. 청구항 제5항에 있어서, 상기 사이클에서 퍼지 가스가 일정하게 PEALD 반응장치 내에 도입되는 것을 특징으로 하는 산화규소박막 증착 방법
  8. 청구항 제1항에 있어서, 상기 실리콘 함유 전구체가 아미노 시레인(amino silane) 가스인 것을 특징으로 하는 산화규소박막 증착 방법
  9. 청구항 제8항에 있어서, 상기 아미노 시레인 가스는 비스디에틸아미노시레인 (BDEAS, bisdiethylaminosilane), 비스에틸메틸아미노시레인 (BEMAS, bisethylmethylaminosilane), 트리스디메틸아미노시레인 (3DMA, trisdimethylaminosilane) 및 헥사키스에틸아미노시레인 (HEAD, hexakisethylaminosilane) 중의 어느 하나인 것을 특징으로 하는 산화규소박막 증착 방법
  10. 청구항 제1항에 있어서, 상기 산소 공급 가스는 산소 기체인 것을 특징으로 하는 산화규소박막 증착 방법
  11. 청구항 제4항에 있어서, 상기 퍼지가스는 희(稀)가스(rare gas)인 것을 특징으로 하는 산화규소박막 증착 방법
  12. 청구항 제1항에 있어서, 상기 사이클의 지속시간이 1.5초 내지 3.0초인 것을 특징으로 하는 산화규소박막 증착 방법
  13. 청구항 제1항에 있어서, 상기 산화규소층의 상기 성장률은 0.120nm/사이클 또는 그 이상인 것을 특징으로 하는 산화규소박막 증착 방법
  14. 청구항 제13항에 있어서, 상기 서셉터의 온도는 방정식
    y= -0.0005x + 0.1397 (y는 성장률. 단위:nm / x는 증착온도. 단위:℃)
    에 따라 설정된 것을 특징으로 하는 산화규소박막 증착 방법
  15. 청구항 제1항에 있어서, 증착온도는 상기 서셉터 내에 형성된 유로를 따라 유동하는 냉각유(冷却流)로 제어하는 것을 특징으로 하는 산화규소박막 증착 방법
  16. 청구항 제1항에 있어서, 상기 RF전력은 커패시티 결합된 평행 전극을 사용하여 공급되고, 상기 서셉터가 하부 전극으로 기능하면서 하나의 기판을 고정시키고 있는 것을 특징으로 하는 산화규소박막 증착 방법
  17. 청구항 제1항에 있어서, 기판이 PEALD 반응장치에 제공되기 전에,
    산화규소층의 성장률과 증착 온도간의 관계를 규정하는 표준곡선을 구하는 단계;
    하나의 사이클 내에서 산화규소층의 성장률을 설정하는 단계; 및
    표준곡선을 이용해서 설정된 성장률을 기본으로 하여 증착온도를 구하는 단계;
    를 추가적으로 포함하는 것을 특징으로 하는 산화규소박막 증착 방법
  18. 청구항 제17항에 있어서, 상기 표준곡선은
    y= -0.0005x+0.1397 (y는 성장률. 단위: nm / x는 증착온도. 단위: ℃)
    인 것을 특징으로 하는 산화규소박막 증착 방법
KR1020100028336A 2009-04-01 2010-03-30 저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법 KR101680379B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/416,809 2009-04-01
US12/416,809 US8197915B2 (en) 2009-04-01 2009-04-01 Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature

Publications (2)

Publication Number Publication Date
KR20100109855A KR20100109855A (ko) 2010-10-11
KR101680379B1 true KR101680379B1 (ko) 2016-11-28

Family

ID=42826412

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100028336A KR101680379B1 (ko) 2009-04-01 2010-03-30 저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법

Country Status (3)

Country Link
US (1) US8197915B2 (ko)
JP (1) JP5679153B2 (ko)
KR (1) KR101680379B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180091545A (ko) 2017-02-07 2018-08-16 연세대학교 산학협력단 버퍼층을 포함하는 금속 산화물막 증착 방법
US11037991B2 (en) 2018-10-02 2021-06-15 Samsung Electronics Co., Ltd. Variable resistance memory device

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5357710B2 (ja) * 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8476099B2 (en) 2010-07-22 2013-07-02 International Business Machines Corporation Methods for improved adhesion of protective layers of imager microlens structures by forming an interfacial region
TWI612700B (zh) 2010-07-28 2018-01-21 應用材料股份有限公司 用於磁性媒材圖案化之阻劑強化
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8728945B2 (en) * 2010-11-03 2014-05-20 Texas Instruments Incorporated Method for patterning sublithographic features
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN107342216B (zh) * 2011-09-23 2022-05-31 诺发系统公司 等离子体活化保形电介质膜沉积
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10600648B2 (en) 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP2019004008A (ja) 2017-06-14 2019-01-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
CN107742608B (zh) * 2017-11-23 2020-11-13 长江存储科技有限责任公司 双重图形侧墙掩膜刻蚀工艺
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190189447A1 (en) * 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) * 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019168535A1 (en) * 2018-03-01 2019-09-06 Lam Research Corporation Silicon-based deposition for semiconductor processing
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200111669A1 (en) * 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) * 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11817313B2 (en) * 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220262649A1 (en) * 2021-02-18 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007117718A2 (en) 2006-04-07 2007-10-18 Micron Technology, Inc. Simplified pitch doubling process flow
JP2009016815A (ja) 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
CN1643674A (zh) * 2002-07-19 2005-07-20 阿维扎技术公司 使用氨基硅烷和臭氧的低温介电沉积
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US20050221021A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20090324971A1 (en) * 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20090041952A1 (en) * 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US8252194B2 (en) * 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007117718A2 (en) 2006-04-07 2007-10-18 Micron Technology, Inc. Simplified pitch doubling process flow
JP2009016815A (ja) 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180091545A (ko) 2017-02-07 2018-08-16 연세대학교 산학협력단 버퍼층을 포함하는 금속 산화물막 증착 방법
US11037991B2 (en) 2018-10-02 2021-06-15 Samsung Electronics Co., Ltd. Variable resistance memory device

Also Published As

Publication number Publication date
KR20100109855A (ko) 2010-10-11
US20100255218A1 (en) 2010-10-07
JP2010245518A (ja) 2010-10-28
JP5679153B2 (ja) 2015-03-04
US8197915B2 (en) 2012-06-12

Similar Documents

Publication Publication Date Title
KR101680379B1 (ko) 저온에서 플라즈마 인가 원자층 증착법에 의한 산화규소박막 증착 방법
KR102306470B1 (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
CN108140545B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
US9997371B1 (en) Atomic layer etch methods and hardware for patterning applications
JP5686944B2 (ja) アモルファスカーボン層の高温堆積のための方法
US9659791B2 (en) Metal removal with reduced surface roughness
JP2020007636A (ja) パルスプラズマアシスト堆積によりギャップ充填層としてシリコンを含まない炭素含有膜を堆積する方法
TW201837979A (zh) 半導體處理裝置
US10494715B2 (en) Atomic layer clean for removal of photoresist patterning scum
JP2007256950A (ja) プラズマcvdを使ったカーボンポリマー膜を形成する方法
US20100189923A1 (en) Method of forming hardmask by plasma cvd
CN111627806A (zh) 基片处理方法和基片处理装置
US11282711B2 (en) Plasma-assisted etching of metal oxides
JP2007081216A (ja) プラズマエッチング装置およびプラズマエッチング方法
WO2015122981A1 (en) Cleaning process for cleaning amorphous carbon deposition residuals using low rf bias frequency applications
WO2020190878A4 (en) Carbon based depositions used for critical dimension control during high aspect ratio feature etches and for forming protective layers
EP2862955A1 (en) Method and apparatus of growing metal-free and low stress thick film of diamond-like carbon
KR20230117475A (ko) 원자 층 제어를 사용한 막의 등방성 에칭
TW201944490A (zh) 用於圖案化應用的碳硬式遮罩及相關的方法
JP2022500850A (ja) 準安定活性ラジカル種を使用する原子層処置プロセス
JP2005045053A (ja) 半導体装置の製造方法
WO2001024230A2 (en) Techniques for improving etching in a plasma processing chamber
US7638441B2 (en) Method of forming a carbon polymer film using plasma CVD
US20170298507A1 (en) Semiconductor Fabrication Apparatus Including a Plurality of Reaction Containers and Methods of Forming Layers on Semiconductor Substrate Using the Same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant