JP2007256950A - プラズマcvdを使ったカーボンポリマー膜を形成する方法 - Google Patents

プラズマcvdを使ったカーボンポリマー膜を形成する方法 Download PDF

Info

Publication number
JP2007256950A
JP2007256950A JP2007069782A JP2007069782A JP2007256950A JP 2007256950 A JP2007256950 A JP 2007256950A JP 2007069782 A JP2007069782 A JP 2007069782A JP 2007069782 A JP2007069782 A JP 2007069782A JP 2007256950 A JP2007256950 A JP 2007256950A
Authority
JP
Japan
Prior art keywords
gas
hydrocarbon
liquid monomer
flow rate
heater
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007069782A
Other languages
English (en)
Inventor
Yoshinori Morisada
佳紀 森貞
Kamal K Goundar
キショー ゴンダル カマル
Masashi Yamaguchi
正史 山口
Nobuo Matsuki
信雄 松木
Kyu Tae Na
キュ タエ ナ
Eun Kyung Baek
エウン キョン バエク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Samsung Electronics Co Ltd
Original Assignee
ASM Japan KK
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK, Samsung Electronics Co Ltd filed Critical ASM Japan KK
Publication of JP2007256950A publication Critical patent/JP2007256950A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)

Abstract

【課題】有機モノマーをプラズマ重合化することにより、光学的性質及び/または機械的性質において優れた特性を有する薄膜ハードマスクを形成する。
【解決手段】容量結合型プラズマCVD装置により半導体基板上に炭化水素系ポリマー膜を形成する方法が与えられる。当該方法は、ビニル基またはアセチレン基により置換されない、沸点が約20℃から約350℃の炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、Xは、O、NまたはF)を気化する工程と、基板が配置されたCVD反応チャンバ内に気化したガス及びCO2ガスまたはH2ガスを導入する工程と、ガスをプラズマ重合することにより半導体基板上に炭化水素系ポリマー膜を形成し、それによって、193nmでの消衰係数を減少させかつ機械的硬度を増加させるところの工程と、から成る。
【選択図】図1

Description

本発明は、分子量が大きい炭化水素系材料を使ってプラズマCVDによりカーボンポリマー膜を形成する方法に関し、特に、半導体処理用のハードマスクとしてカーボンポリマー膜を利用する方法に関する。
半導体処理技術において、反射防止膜のような光学膜やハードマスクが使用されている。従来技術において、これらの膜は主にコーティング法と呼ばれる技術により形成される。コーティング法は液体材料をコーティングしかつそれを焼結することにより非常に機能的なポリマー膜を形成するものである。しかし、粘性を有する液体をコーティングするため、基板上に薄膜を形成するのは困難である。半導体チップのサイズが縮小するに従い、より薄くてかつ強度が高い膜が必要になってきた。
薄膜を形成するための有利な方法として、プラズマCVDによりダイヤモンドライクカーボン膜またはアモルファスカーボン膜を使用することが、例えば、米国特許第5,470,661号、及び第6,428,894号に記載されている。
米国特許第5,470,661号 米国特許第6,428,894号
この場合、材料として室温で気体状態の分子を使用して、プラズマにより分子を分解することにより、ダイヤモンドライクカーボン膜またはアモルファスカーボン膜が形成される。プラズマCVD法を使用すれば、より薄い薄膜を容易に形成することができる。
本発明のひとつの実施例はさまざまな構造を有することができるポリマー膜を形成する方法を含む。それはプラズマCVDによるコーティング法の利点であり、半導体処理用のハードマスクとして、薄いポリマー膜を使用することができる。さまざまな構造及び特性を示すベンゼンのような高分子量の有機モノマーから生成されるポリマー材料は、高強度材料及びさまざまな高機能材料として産業上広く使用されている。CO2またはH2の添加ガスと特に組み合わせて、ソースガスとして反応ガス中にそれを含ませ、これらの有機モノマーをプラズマ重合化することにより、光学的性質及び/または機械的性質の優れた特性を有する薄膜ハードマスクを形成することが可能になり、その結果薄膜ハードマスクは反射防止層と組み合わせて反射率を減少させることができ、または露光工程中に反射防止層を除くこと及びエッチング選択性を改善することも可能になる。付加的に、約400℃以上に基板温度を制御することにより、機械的性質及び光学的性質をさらに改良できる。
上記特性はハードマスクにとって特に重要である。フォトレジストはハードマスク上に形成され、フォトレジストが露光されかつパターニングされる際、ハードマスクの光学的性質は露光及びパターニング処理に影響を及ぼす。例えば、フォトレジストの露光中に使用される光の波長においてハードマスクの消衰係数(k)が小さい(すなわち、より多くの光がハードマスクを通過する)場合、ハードマスクの反射率は反射防止層と組み合わせることで有意に減少でき、または反射防止層を除くことができる。ハードマスクがハーフピッチ65nmのDRAMを有する基板を処理するのに使用される場合、193nmの波長を有する露光光線が使用される。よって、193nmでの消衰係数(k)が重要である。
また、ハードマスクにとって、機械的性質は特に重要である。上記のように、パターン幅が極端に狭くなると、パターンは機械的または温度的に曲げられる傾向がある。ハードマスクの機械的硬度が高ければ、パターンはより強固となる。付加的に、ハードマスクの機械的硬度が高ければ、酸化膜上のハードマスクのエッチング選択性が高くなり、かつハードマスクが薄くなることで、パターンの曲がりを防止することができる。
特にCO2またはH2の添加ガスと組み合わせて、ソースガスとして反応ガスに含めることで、これらの有機モノマーをプラズマ重合することにより、193nm(633nmではなく)で特に測定した消衰係数(k)を減少させること、及び機械的硬度を増加させるが可能となる。好適には、形成される炭化水素系ポリマー膜の193nmでの消衰係数及び機械的硬度は、それぞれ、約0.38以下(好ましくは、0.35以下)及び約0.5GPa以上(好ましくは、0.8GPa以上)である。本発明のひとつの実施例において、屈折率(n)及び弾性係数も増加する(例えば、それぞれ193nmで1.45以上、及び5.20GPa以上)。消衰係数(k)及び屈折率(n)は波長に依存する。興味深いのは、これらの性質がCO2ガスまたはH2ガスの流量の関数として、付加的に基板の温度の関数として制御可能であることである。
付加的に、ひとつの実施例において、液体有機モノマーが5個以上の炭素を有する炭化水素系モノマーであり、それはビニル基またはアセチレン基により置換されない。付加的に、ひとつの実施例に従い、カーボンポリマー膜が半導体デバイス基板上に形成され、使用の意図は問わない。
本発明のさらに他の実施例に従い、液体有機モノマーはビニル基またはアセチレン基により置換されず、例えば、有機ポリマー膜はメチル基またはエチル基により置換されたベンゼンから形成される。
付加的に、本発明のひとつの実施例において、液体有機モノマーは炭化水素のみから構成され、酸素、シリコン、フッ素、窒素他を含まない。付加的に、ひとつの実施例において、反応ガスは液体有機モノマーに加えて不活性ガス及び添加ガスから成る。ひとつの実施例において、反応ガスは液体有機モノマーのみから成る。また、ひとつの実施例において、反応ガスは液体有機モノマー及び不活性ガスから成る。さらに他の実施例において、反応ガスは液体有機モノマー及び添加ガスから成る。付加的に、液体有機モノマーの架橋において、添加ガスは炭化水素系ポリマー膜の主要構造となる。
高分子量のほとんどのモノマーは蒸気圧が低く室温で液体のため、反応チャンバ内に導入するためにはそれらを気化する必要がある。本発明のひとつの実施例において、液体モノマーを気化するためのヒータ/気化器を与え、かつ、所定温度で気化器から反応空間内部のリアクタ及びシャワープレートまでのガス配管を加熱維持することにより、モノマーが再凝結するのを防止することで、液体モノマーの使用が可能となる。
本発明の他の実施例に従い、リアクタ内へ導入された後、有機モノマーは、基板表面上に有機カーボンポリマーを形成することができるように、プラズマによる重合反応により重合化され、形成した膜を半導体処理用のハードマスクとして使用することが可能となる。プラズマCVDにより形成されたカーボンポリマー膜は、透過性を有する薄膜を容易に形成できるため、光学膜として優れた特性を有する。
有機モノマーが重合される際、CO2ガスまたはH2ガスが添加ガスとして効果的に導入され、ひとつの実施例において、CO2ガスまたはH2ガスの流量は気化したガスの流量より多い(例えば、1.1倍、1.5倍、2倍、5倍、10倍、20倍、50倍または100倍以上あるいはこれらの任意の2数間の範囲を含む)。CO2ガスまたはH2ガスの流量が大きすぎる際に不安定になるプラズマの状態を考慮して上限が設定される。光学的/機械的性質の改善は、概してまたは実質的にCO2ガスまたはH2ガスの流量に比例するが、他の実施例において、CO2ガスまたはH2ガスの流量は気化したガスの流量以下である(例えば、3/4、1/2、または1/4以下あるいはこれらの任意の2数間の範囲を含む)。
ひとつの実施例において、CO2ガスは、350sccm以上の流量(400sccm、500sccm、800sccm、1000sccm、1500sccm、2000sccm、3000sccm、3500sccm、4000sccm、またはこれらの任意の2数間の範囲を含む)で使用される。
ひとつの実施例において、H2ガスは、200sccm以上の流量(250sccm、300sccm、500sccm、1000sccm、またはこれらの任意の2数間の範囲を含む)で使用される。
ひとつの実施例において、基板の温度は、約0℃から約750℃、約50℃から約650℃、約350℃から約650℃、約400℃から約600℃、またはこれらの任意の2数間(その数値を含んでも含まなくてもよい)の範囲に制御される。
本発明は、上記利点、目的または実施例に限定されない。本発明において、発明の特定の実施例に従いこれらすべての目的または利点が必ずしも達成されないことがわかる。よって、例えば、本発明はここに教示または示唆されるような他の目的または利点を必ずしも達成することなく、ここに教示されるようなひとつの利点または利点の集合を達成しまたは最適化する方法で実施または実行することができることは当業者の知るところである。
好適実施例を使って本発明を詳細に説明する。しかし、本発明は以下の実施例に限定されない。さらに、ひとつの実施例における構成要件は、他の実施例に対して自由に適用可能であり、特別な条件が付加されなければ、構成要件は相互に交換可能である。
ひとつの実施例に従い、容量結合型プラズマCVD装置により半導体基板上に炭化水素系ポリマー膜を形成する方法において、本発明は、20℃から350℃の沸点を有し、ビニル基またはアセチレン基により置換されない炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、XはOまたはN)を気化する工程と、内部に基板が配置されたCVD反応チャンバ内に気化したガスを導入する工程と、ガスをプラズマ重合することにより基板上に炭化水素系ポリマー膜を形成する工程とから成る上記方法を与える。
また、他の実施例に従い、容量結合型プラズマCVD装置により半導体基板上に炭化水素系ポリマー膜を形成する方法において、本発明は、CVD反応チャンバ内に半導体基板を配置する工程と、20℃から350℃の沸点を有し、ビニル基またはアセチレン基により置換されない炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、XはO、NまたはF)を気化する工程と、内部に基板が配置されたCVD反応チャンバ内に気化したガスを導入する工程と、当該ガスをプラズマ重合することにより基板上に炭化水素系ポリマー膜から成るハードマスクを形成する工程とから成る上記方法を与える。
さらに、他の実施例に従い、容量結合型プラズマCVD装置により半導体基板上に炭化水素系ポリマー膜を形成する方法において、本発明は、20℃から350℃の沸点を有する炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、XはO、NまたはF)を流量制御バルブ及びその下流に配置された遮断バルブを通じてヒータ内に導入し、約80℃以下に維持してそれを気化する工程と、内部に基板が配置されたCVD反応チャンバ内に気化したガスを導入する工程と、ガスをプラズマ重合することにより基板上に炭化水素系ポリマー膜を形成する工程とから成る上記方法を与える。また、液体モノマーは遮断バルブの下流であってヒータの上流で不活性ガスと混合され、さらに、成膜完了後、遮断バルブを遮断することによりヒータ内部への液体モノマーの流入をブロックする工程を含んでもよい。さらにまた、遮断バルブが閉じられた後、ヒータ内部が不活性ガスによりパージされてもよい。
上記ひとつ以上の実施例において、液体モノマーは反応チャンバの上流に配置されたヒータ内に導入されて気化される。付加的に、液体モノマーがヒータの上流側のバルブにより流量制御され、膜の形成中を除きヒータ内への液体モノマーの導入が流量制御バルブとヒータとの間に配置された遮断バルブにより遮断され、80℃以下、あるいは加熱/気化温度より約50℃以上だけ低い温度に維持される。または、膜の形成中を除き、ヒータ内への液体モノマーの導入が遮断されると同時に、液体モノマーはヒータの上流側に配置されたバルブにより流量制御され、80℃以下、あるいは加熱/気化温度より50℃以上だけ低い温度に維持されてもよい。
上記バルブのいずれかを使った実施例において、液体モノマーはバルブの下流側でかつヒータの上流側で不活性ガスと混合される。
さらに、上記ひとつ以上の実施例において、不活性ガスを導入する工程はプラズマ重合以前に実行される。
装置構成
図1は、本発明で使用される気化器とプラズマCVDリアクタとを組み合わせた装置の略示図である。本発明で使用される装置は図1で示される実施例に限定されない。
この実施例において、反応チャンバ11内部に平行かつ対向して一対の導体平板電極4,2を配置することにより、RF電力5を一方に印加し、他方を接地することにより電極間にプラズマが励起される。載置された基板の温度を調節するための温度調節器が下部ステージ2内部に与えられ、基板は0℃から650℃の範囲の所定温度に一定に維持される。上部電極4はシャワープレートとしても機能し、反応ガスはシャワープレートを通じて反応チャンバ11内に導入される。さらに、反応チャンバ11内には、反応チャンバ11内部のガスを排気するための排気管6が与えられる。
ひとつの実施例において液体有機モノマーを気化する気化器10(一例を図2に示す)は、液体用の流入ポート及び不活性ガス用の流入ポートを有し、これらのガスを混合するための混合器及びその混合ガスを加熱するための装置から成る。図1に示される実施例において、不活性ガスは不活性ガス流量制御器8から気化器10へ導入され、液体モノマーは液体モノマー流量制御器9から気化器10へ導入される。混合用の加熱温度は液体モノマーの蒸気圧特性により決定され、ひとつの実施例において、温度は30℃から350℃の範囲に維持される。気化したガスは配管を通じてリアクタ内に導入される。付加的に、図1に示す実施例はガス流量制御器7からリアクタ内へ添加ガスを導入することができるように設計されている。また、不活性ガスは気化器10を通過することなくリアクタ内に導入されてもよい。ガス流量制御器7の数はひとつに限定されないが、使用するガス種の数に応じて適切に与えられる。
気化器からリアクタへガスを導入する配管及びリアクタの上部のシャワーヘッドはヒータにより30℃から350℃の範囲の所定温度に加熱/温度制御され、外側が絶縁材により覆われている。
液体有機モノマー
ソースガスとして本発明のひとつの実施例で使用される液体有機モノマーは、沸点が室温以上(例えば、20℃〜350℃)であり、ビニル基またはアセチレン基により置換されない炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、XはO、NまたはF)から成る。沸点は約30℃から約350℃が好ましく、他の実施例では約50℃〜約200℃が好ましく、さらに他の実施例では約100℃以上が好ましい。ひとつの実施例において、液体モノマーは環状炭化水素である。環状炭化水素は置換または非置換ベンゼンである。また、置換または非置換ベンゼンはC6H6-nRn(ここで、nは0,1,2,3であり、Rは個々に-CH3または-C2H5である)であってもよい。ひとつの実施例において、液体モノマーは2種類以上の置換または非置換ベンゼンの組み合わせであってもよい。
上記において、置換ベンゼンは、1,3,5-トリメチルベンゼン、o-キシレン、m-キシレンまたはp-キシレンのいずれでもよく、ベンゼン誘導体に加え、環状炭化水素は、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、シクロオクタテトラエン、ペンタン、イソペンタン、またはネオペンタンのいずれでもよい。ひとつの実施例において、液体モノマーは直鎖状炭化水素でもよく、該直鎖状炭化水素はヘキサンでもよい。
付加的に、ひとつの実施例において、液体モノマー炭化水素はγがゼロであってもよい。ひとつの実施例において、反応ガスは液体モノマーのみから成ることもできる。
特定の実施例として、C6H3(CH3)3(1,3,5-トリメチルベンゼン(TMB)、沸点165℃)またはC6H4(CH3)2(ジメチルベンゼン(キシレン))沸点144℃)が挙げられる。これに加え、直鎖状アルカン(CnH2(n+1))として、ペンタン(沸点36.1℃)、イソペンタン(沸点27.9℃)またはネオペンタン(nが5で、沸点9.5℃)またはヘキサン(nが6で沸点68.7℃)がソースガスとして使用されてもよい。
さらに、他の実施例において、液体有機モノマーは、沸点が室温以上(例えば、約20℃から約350℃)の炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、XはO、NまたはF)である。このモノマーを使って、ハードマスクが形成される。好適には、炭素数は6個から30個であり、ひとつの実施例において炭素数は6個から12個である。沸点は約30℃から約350℃が好ましく、ある実施例において約50℃から約350℃であり、ある実施例において約50℃から約200℃であり、ある実施例において約100℃以上である。この場合、液体モノマーは環状炭化水素であり、環状炭化水素は置換または非置換ベンゼンである。また、置換または非置換ベンゼンはC6H6-nRn(ここで、nは0,1,2または3で、Rは個々に-CH3、-C2H5または-CH=CH2である)であってもよい。さらに、ある実施例において、液体モノマーは非置換ベンゼンの2種類以上の組み合わせであってもよい。
上記において、置換したベンゼンは1,3,5-トリメチルベンゼン、o-キシレン、m-キシレン、またはp-キシレンのいずれでもよい。ベンゼン誘導体に加え、環状炭化水素は、シクロヘキセン、シクロヘキサジエン、シクロオクタテトラエン、ペンタン、イソペンタン、またはネオペンタンのいずれでもよい。付加的に、それは直鎖状炭化水素でもよく、直鎖状炭化水素はペンタン、イソペンタン、ネオペンタン、ヘキサン、1-ペンタン、1-ヘキセン、または1-ペンチンである。
また、ひとつの実施例において、液体モノマーはγがゼロの炭化水素である。ひとつの実施例において、液体モノマーのみから成る反応ガスが使用されてもよい。
特定的に、C6H5(CH=CH2)(ビニルベンゼン(スチレン)、沸点145℃)が挙げられる。これに加え、直鎖状アルケン(CnHn(n=5))として、1-ペンテン(沸点30.0℃)、または直鎖状アルキン(CnH2(n-1)(n=5))として、1-ペンチン(沸点40.2℃)等がソースガスとして使用可能である。
モノマーの気化
液体モノマーは室温で液体である。しかし、液体モノマーの構造及び沸点に応じて、液相で液体モノマーの重合が生じるのを防止するための手段が必要となる。
沸点が150℃以下で蒸気圧が高くかつ不飽和結合を含む反応基を有しないベンゼン及びトルエンなどの有機モノマーが使用される場合、気化器内部での重合などの問題が生じないため、液体モノマーをタンク内に保存して、液体モノマーを保存するタンクを加熱し、タンクを加熱することにより気化したガスを流量制御してリアクタへ供給する方法を使用することが可能である。この場合、不活性ガスを気化器内部へ導入する場合と導入しない場合の両方がある。
沸点が150℃以上で蒸気圧が低い有機モノマーが使用され、タンクを加熱する方法が使用される場合、液体モノマーの分子量が重合反応により変更されるなどの問題がタンク内部で発生し、液相のモノマーが長時間高温で維持されるため、固化が生じる。このため、気化器への流量制御装置によりリアクタ内へ導入されるモノマーガスと同等の所定流量の液体モノマーを導入するタイプの気化器を使用することにより、液体モノマーは短時間で加熱気化される。この場合、気化器へ不活性ガスを導入する場合と導入しない場合の両方がある。
さらに、特に、沸点が170℃以上でかつ低蒸気圧またはビニル基のような不飽和基を含む反応基を有するモノマーが使用される場合、気化器内部で重合が生じるのを防止するための手段が必要となる。この場合、気化器内部へ不活性ガスを導入する場合と導入しない場合の両方があるが、不活性ガスを導入する方が好ましい。
膜形成中、液体モノマーは気化器内部に定常的に供給され、長時間の間液相で維持される。しかし、膜形成が完了し、薄膜が形成された基板の搬出と次の基板のリアクタ内部への配置との間で、モノマーはリアクタに供給されないため液体モノマーの導入を停止する必要がある。この間、液体モノマーは気化器内部のヒータ部分にあり、気化器内部で重合反応が生じる。
この問題を解決するために、液体モノマー供給を遮断するための機能が気化器部の上流側に設けられ、その結果、モノマーがリアクタに供給されていない間、ヒータ/気化器部分への液体モノマーの浸入が妨げられる。ひとつの実施例において、流量制御部は低温を維持するべくヒータ/気化器部分から離隔され、液体モノマー供給を遮断するための機能が流量制御部分に付加されるか、液体モノマーの浸入を遮断するためのバルブが流量制御部に設けられる。ひとつの実施例が図2に示されている。
不活性ガスは流量制御装置(図示せず)内で流量制御され、所定流量の不活性ガスが流入管23から気化器20へ導入される。前駆体(液体モノマー)及び不活性ガスがそれぞれの流入口から混合装置26へ誘導されて混合され、混合ガスがヒータ/気化器25内で気化される。気化したガスはガス配管22を通じて反応チャンバ内に送られる。混合ガスの気化温度は液体モノマーの蒸気圧特性により決定され、通常30℃から350℃の範囲の温度に制御される。ヒータ21が気化器内に与えられる。
この気化器において、遮断バルブ24が混合装置26の上流側に設けられる。前駆体は、流入管27を介して流量制御器(図示せず)及び遮断バルブ24を通過した後、混合装置26内に送られる。遮断バルブ24は温度制御され、通常約80℃以下に維持されるか、あるいは(加熱または冷却制御して)約50℃以上だけヒータ/気化器25の温度より低い温度に維持される。ヒータ/気化器25の温度が100℃以下である場合、遮断バルブの温度を考慮する必要はそれほどない。付加的に、ヒータ/気化器25の温度が100℃以上の場合でも、遮断バルブは、高温部から離れるように設計されていれば、温度を制御することなく自然に冷却される(すなわち、広い意味での温度制御)。遮断バルブ24は、液体モノマーがヒータ/気化器25内に導入されないように、膜形成中は閉じられる。
液体モノマーは遮断バルブ24の上流側で保持されるが、遮断バルブ24の温度は約80℃以下、あるいはヒータ/気化器25の温度より約50℃低い温度に保持されるため、液体モノマーが遮断バルブ24により保持されている間重合反応を起こすのは困難である。結果として、遮断バルブの温度は、それが液体モノマーの重合反応を起こさない程度で十分であり、それは液体モノマーの重合特性に従って適宜選択され得る。また、沸点が低くかつ高蒸気圧の液体モノマーが使用される際、遮断バルブの制御は不必要である。さらに、沸点が高くかつ低蒸気圧の液体モノマーが使用される際、膜形成が短時間で完了する場合には気化器内の液体モノマーの重合反応は大きな問題ではないため、遮断バルブの制御は必要ない。
付加的に、遮断バルブ24が閉じられた後、不活性ガスを混合装置26及びヒータ/気化器25内へ連続的に導入することにより、ヒータ/気化器25の内部もまた不活性ガスによりパージされる。これにより、気化器の内部に残存する液体モノマーの量は減少し、重合反応を起こす液体モノマーの量は最小となる。
また、流量制御機能が遮断バルブに付加されてもよい。この場合、別々の流量制御装置は必要ではなく、あるいは単純化されてもよい。
遮断バルブを必要としない実施例において、タンク型のヒータ/気化器またはノズル型のヒータ気化器が使用される(例えば、米国特許第6,277,201号、第6,699,524号、第5,377,616号参照)。ノズル型気化器が反応チャンバ内部に与えられてもよい。
液体モノマーの流量は特に制限されないが、気化した後に反応チャンバ内へ導入される流量は、ひとつの実施例において、約30sccmから約1000sccm(50sccm、100sccm、150sccm、200sccm、300sccm、400sccm、500sccm、及びこれらの任意の2数間の範囲を含む)である。
付加的に、不活性ガスが反応チャンバ内に導入されてもよい。例えば、不活性ガスはAr、He、Ne、Kr、Xe、及びN2の2つ以上の組み合わせのひとつであり、好ましくはAr及び/またはHeである。反応チャンバ内に導入される不活性ガスの流量は、約0sccmから約3000sccm(30sccm、50sccm、100sccm、150sccm、200sccm、300sccm、500sccm、1000sccm、2000sccm、及びこれらの任意の2数間の範囲を含む)である。
本発明のひとつの実施例において、上記したように、CO2ガスまたはH2ガスが導入される。付加的に、ある実施例において、さらに添加ガスとして、有機ガスCnHm(ここで、nは4以下のゼロを含む整数、mは任意の自然数)が反応チャンバ内に導入されてもよい。または、さらに添加ガスとして、N、OまたはFを含むドライガスが反応チャンバ内に導入されてもよい。付加的に、還元ガスとして、アンモニア、一酸化炭素等が添加ガスに使用されてもよい。反応チャンバ内に導入される添加ガスの流量は約0sccmから約300sccm(30sccm、50sccm、100sccm、150sccm、200sccm、及びこれら任意の2数間の範囲を含む)である。
結果として、ひとつの実施例において、炭化水素系ガスと不活性ガスのみを使って、有機カーボンポリマー膜が形成される。あるいは、炭化水素系ガスのみを使って膜が形成され得る。また、炭化水素系ガス及び不活性ガスのみ、または炭化水素系ガス、不活性ガス及び添加ガスが使用されてもよい。
さらに、不活性ガスがヒータ/気化器内で混合される実施例において、不活性ガスが反応チャンバ内に導入されてもよい。反応チャンバ内に導入されるべき上記不活性ガスの説明はそのまま当該不活性ガスに適用できる。この場合、反応チャンバ内に不活性ガスを直接導入する代わりに、気化器を通じて導入される不活性ガスが使用される。また、反応チャンバ内に直接導入される不活性ガス及び気化器を通じて導入される不活性ガスが一緒に使用されてもよい。ひとつの実施例において、気化器を通じて導入される不活性ガスの流量は直接導入される不活性ガスの流量以上である。さらに、ひとつの実施例において、気化器を通じて導入されるものと直接導入されるものとして同じ種類の不活性ガスが使用され、他の実施例において、異なる種類の不活性ガスが使用されてもよい。
プラズマ重合は、ひとつの実施例において、約0℃から約650℃(150℃〜450℃の範囲、300℃〜400℃の範囲を含む)の基板温度、約10Paから約1500Pa(50Pa〜1000Paの範囲、100Pa〜500Paの範囲を含む)の反応圧力の条件で実行される。
RF電力密度に関して、プラズマ重合ステップは、ひとつの実施例において、基板面積あたり約0.01W/cm2から約20W/cm2のRF電力密度(0.05〜10W/cm2の範囲及び1〜5W/cm2の範囲を含む)の条件で実行される。
単位モノマーあたりの電力はさまざまな他の条件により変化する。ひとつの実施例において、プラズマ重合ステップは、0.01〜100W/sccm(0.05〜50W/sccmの範囲、及び3〜20W/sccmの範囲を含む)の条件で実行され、RF電力は単位モノマーあたりの流量で制御されてもよい。
付加的に、プラズマ重合ステップは、ひとつの実施例において、5MHzを超える周波数、例えば、13.56MHz、27MHzまたは60MHzの高いRF電力周波数のいずれかを使って実行され、さらに、上記高いRF電力周波数と5MHz以下(2MHz以下及び500kHz以下を含む)の低いRF電力周波数が組み合わされてもよい。ひとつの実施例において、高いRF電力に対する低いRF電力の比率は、50%以下、30%以下、あるいは10%以下である。
有機ポリマー膜の厚さは意図した用途に応じて適宜選択可能である。ひとつの実施例において、それは50nm〜1000nmまたは100nm〜500nmである。成膜速度は、使用する液体モノマーに応じて変化し、ひとつの実施例において、それは約0.1〜20nm/secである。
得られるカーボンポリマー膜は、使用される液体モノマーに応じて異なり、ひとつの実施例において、弾性率は約4〜10GPaまたは約5〜8GPaの範囲にある。また、機械的硬度は約0.1〜2GPaまたは約0.3〜1GPaの範囲にある。
ハードマスク形成
ハードマスクの形成及び使用の例が図4に示されている。図4(a)、は本発明のひとつの実施例に従い有機カーボンポリマー膜がハードマスクとして形成されるところの半導体基板構造の略示図である。電気回路が形成される下部構造33の上に、絶縁膜(酸化シリコン、SiOF、SiC、他の低誘電率膜等)である下地膜32、容量材料(SiN、Al2O3、HfO2、Ta2O3等)、電極材料、金属(ポリシリコン、TiN、TaN、Ru、Al等)が形成され、その上に有機カーボンポリマー膜がハードマスク31として形成される。その上に、フォトレジスト膜30(フォトポリマー等)が形成される。本発明はこの構造(例えば、DRAM)に制限されない。また、構造は積層膜構造でもよく、絶縁膜がスピンオンプロセスにより形成される低誘電率膜であってもよい。
図4(b)において、フォトレジスト膜30が所定パターンでエッチングされ、図4(c)において、ハードマスク31がエッチングされ、その後図4(d)において、絶縁膜32がエッチングされ、図4(e)において最終的に残ったハードマスク31がO2アッシング等により除去される。これにより、所定パターンを有する絶縁膜等が形成される。
後処理
さらに、基板上への膜形成が完了した後、反応チャンバの内壁をクリーニングするのが好ましい。例えば、反応チャンバの内壁面のクリーニングは、酸素(O2)及び/またはCxFy(ここで、x及びyは任意の自然数)と不活性ガスとの混合ガスを反応チャンバ内に導入し、電極間にプラズマを生成することにより実行される。基板上への膜形成が完了した後、O、Fを含むラジカル分子を含むガスを反応チャンバ内に導入することにより、反応チャンバの内壁面のクリーニングが実行されるか、または基板上への膜形成が完了した後、O、Fを含むラジカル分子を含むガスを反応チャンバ内に導入し、電極間にプラズマを生成することにより反応チャンバの内壁面のクリーニングが実行される。
付加的に、反応チャンバの内壁面のクリーニングが完了した後、反応チャンバ内に還元ガス及び還元ラジカル分子を導入し、電極間にプラズマを生成することにより、反応チャンバの内壁面のフッ化物も除去される。
また、膜の機械的強度を改良するために、形成した膜の加熱キュアがUV及びEBの組み合わせにより実行される。
実験結果
本発明の実施例を以下で説明するが、本発明はこれらに限定されない。
共通条件
各実施例の共通条件を以下に示す。図1に示す基本構造を有するEagle 10(商標)(日本エー・エス・エム社製)がリアクタとして使用され、図2に示すヒータ/気化器が使用された。付加的に、これらの実施例において、液体モノマーは液相で流量制御器により流量制御されたが、リアクタ内に導入されるガス量は液体の流量からモル変換することにより得られた。また、これらの実施例において、直径200mmの基板が使用された。直径300mmの基板の場合、ガス流量及びRF電力を増加する必要がある(約2倍以上、例えば2.25倍)点を除き、以下に示す共通条件が適用できる。
リアクタ設定
上部電極(シャワープレート)温度:180℃
シャワープレートのサイズ:φ250mm
基板のサイズ:φ200mm
基板温度:390℃
気化器の気化部温度:150℃
ガス流入管の制御した温度:140℃
<比較例1(添加ガスなし)>
この比較例におけるプロセス条件及び膜形成結果を以下に示す
シャワープレートとサセプタとの間のギャップ:16mm
・プロセス条件
C6H3(CH3)3:130sccm
気化器に供給されたHe:170sccm
リアクタに供給されたプロセスガスHe:44sccm
RF電力:13.56MHz 400W
RF電力:400kHz 145W
圧力:800Pa
膜形成時間:29秒
・膜形成結果
膜厚:200nm
RI(n): 633nmで1.696
RI(k): 633nmで0.018
RI(n): 193nmで1.514
RI(k): 193nmで0.391
弾性率:5.06Gpa
機械的硬度:0.422GPa
薄膜形成の制御性
さらに、図3は、上記と同じ条件で得られた膜形成時間と形成膜の厚さとの関係を示す。膜厚は膜形成時間に比例し、約30nmから約400nmの厚さを有する薄膜が満足できる制御性をもって形成されたことが確認できた。さらに、得られたカーボンポリマー膜のRI、弾性率、機械的強度はすべて満足できるものであり、得られたカーボンポリマー膜はハードマスクに適していることがわかる。
上記比較例1において、添加ガスは使用されなかった。以下の実施例は、添加ガス、特にCO2ガスが光学的性質及び機械的性質を変化させるために有用であることを示すものである。また、実施例は光学的性質及び機械的性質の変化は、概してまたは実質的に添加ガスの流量に比例することも示すものである。特に、生成した膜の機械的硬度が増加し(化学的構造がより複雑かつ強固となり)、それによってエッチング選択性(例えば、ダマシン処理における酸化膜とハードマスクとの間の選択性)が改善された。また、生成膜の消衰係数(k)が減少し、それにより反射防止層(ARL)と組み合わせてまたはARLを必要とすることなく反射率を減少させることができる。フォトレジストが193nmの光線曝露の際にARLを除くためには、193nmでの低消衰係数kが重要である。
<実施例1(CO2:3000sccm)>
この実施例におけるプロセス条件及び膜形成結果を以下に示す
シャワープレートとサセプタとの間のギャップ:16mm
・プロセス条件
C6H3(CH3)3:130sccm
CO2:3000sccm
気化器に供給されたHe:170sccm
リアクタに供給されたプロセスガスHe:44sccm
RF電力:13.56MHz 400W
RF電力:400kHz 145W
圧力:800Pa
膜形成時間:42秒
・膜形成結果
膜厚:200nm
RI(n): 633nmで1.777
RI(k): 633nmで0.075
RI(n): 193nmで1.513
RI(k): 193nmで0.244
弾性率:9.46Gpa
機械的硬度:1.288GPa
上記実施例1では、比較例1と比べ、633nmでの屈折率(n)及び消衰係数(k)はそれぞれ1.690及び0.018から1.777及び0.075まで増加する。しかし、193nmでは、屈折率(n)は1.438から1.513まで増加するが、消衰係数(k)は0.400から0.244まで有意に減少した。また、機械的硬度及び弾性率は、それぞれ0.42GPa及び5.06GPaから1.29GPa及び9.46GPaへ大きく増加した。これらの現象は驚くべきことである。なぜなら、機械的硬度が増加する場合に密度も増加し、通常では屈折率(n)は増加し(より大きな屈折が生じ)かつ消衰係数(k)が増加する(膜を通過する光が減少する)からである。しかし、添加ガスとしてCO2が添加されると、CO2から誘導されたCO及び/またはOが、例えば膜構造内に存在する-CH2で架橋剤として架橋を促進させ、それにより、分子構造がより複雑となるが、193nmでの消衰係数(k)が有意に減少し、同時に633nmでの消衰係数(k)を増加させることができる。これは、膜の分子構造はより複雑となるが、密度を大きく増加させることなく特別な方法で構成されているためであると思われる。上記理論またはメカニズムは本発明を限定するものではない。
実施例2及び3(CO2:370sccm及び740sccm)
これらの実施例のプロセス条件は、表1に示すようにCO2の流量及び成膜速度を除き、実施例1と本質的に同じである。膜形成結果が表1に示されている。
表1に示すように、上記現象はこれらの実施例にも見られ、それは概してまたは実質的にCO2の流量に比例する。
比較例2(O2:15sccm)
この比較例のプロセス条件は、表1に示すように、添加ガスとその流量並びに成膜速度を除き、実施例1と本質的に同じである。膜形成結果が表1に示されている。
O2は酸化ガスであり、CO2も酸化効果を有する。よって、O2の使用は、表1に示すようにCO2と類似の現象をもたらす。しかし、193nmでの機械的硬度及び消衰係数(k)の改善の程度は実施例1〜3ほどではない。193nmでの機械的硬度は0.5GPa以下であり、消衰係数は0.38以上であった。また、プラズマが不安定になるためO2の流量を増加するのは困難である。
実施例4(H2:200sccm)
この実施例のプロセス条件は、CO2の代わりに添加ガスとして200sccmのH2が使用され、成膜時間が24秒(成膜速度が495nm/min)であることを除き、実施例1と本質的に同じである。膜形成結果が表1に示されている。
表1に示されるように、添加ガスとして200sccmのH2を使用することにより、633nmでの屈折率(n)及び消衰係数(k)は増加したが、193nmでの屈折率(n)及び消衰係数(k)は減少した。この現象は実施例1〜3のものといくらか異なるものである。機械的硬度は増加した。H2が添加ガスとして添加された場合、H2が誘導されたHは-CH2のH端子を形成するか、または膜構造内に存在する-CH2で還元剤として架橋を促進する。それによって、その分子構造はより複雑になるが、消衰係数(k)が有意に減少する(より多くの光が膜を通過する)。これは、分子構造はより複雑となるが、その密度を大きく増加させることなく(CO2の場合とは異なる)特定の方法で構成されるためであると思われる。上記理論またはメカニズムは本発明を限定するものではない。
比較例3(温度:500℃、添加ガスなし)
この比較例のプロセス条件は、基板の温度が500℃であり、添加ガスが使用されない点を除き、実施例1と本質的に同じである。膜形成結果が表1に示されている。
表1に示されるように、基板温度が高い場合、膜の機械的硬度は増加し、193nmでの屈折率(n)を除き消衰係数(k)及び屈折率(n)が増加した。また、膜の密度も増加した。これは、膜中に存在していた低温の弱い結合が高温の熱エネルギーにより分解され、それによって、より強い結合が形成されかつ密度及び屈折率(n)が増加したためであると考えられる。これはまた、低温の膜内に組み込まれていた水素を含む結合(-CH3など)が高温で解離し、それによって炭素含有量が増加しかつ屈折率(n)が増加するためであると考えられる。
表1に示されるように、高温成膜は消衰係数(k)の増加のような欠点を有するが、それはプラズマを不安定にすることなく、機械的強度及びエッチング選択性を増加させることができる(非常に大きい流量のCO2またはH2はプラズマを不安定にする)。
実施例5(温度:450℃、CO2:3000sccm)
この実施例のプロセス条件は、基板の温度が450℃であることを除き、実施例1と本質的に同じである。膜形成結果が表1に示されている。
表1に示されるように、高温でCO2流量が大きい場合、膜の機械的硬度は非常に増加(実施例の中で最高)し、193nmでの消衰係数(k)は比較例1と比べ減少した。193nmでの消衰係数(k)は実施例1よりわずかに高いが、その値は比較例1よりもはるかに低い。この実施例において、温度は450℃に設定されたが、この実施例に示すような有利な効果は約400℃以上の温度で得られるものである。この実施例のように、高温成膜とCO2またはH2の添加との組み合わせにより、CO2またはH2の添加のみにより得られる機械的強度より高い機械的強度を得ることができる。
Figure 2007256950
実施例6(機械的硬度/k値とCO2流量との相関関係)
この実施例のプロセス条件は、図2に示されるCO2及び成膜を除き、実施例1と本質的に同じである。膜形成結果が図5に示されている(典型的に、成膜速度は、好適に約150nm/minから約300nm/minの範囲である)。
Figure 2007256950
図5に示されるように、CO2の流量が大きくなるほど、消衰係数(k)は小さくなる。一方、CO2の流量が大きくなるほど、機械的硬度が大きくなる。また、CO2流量が約800sccm以上のとき、193nmでの消衰係数(k)は0.35以下であり、機械的硬度は0.8GPa以上である。したがって、これらの膜をハードマスクとして使用する際、ハードマスクは193nmの波長の光線を効果的に通過させることができ、その結果フォトレジストが反射防止層(ARL)とともにより効果的にまたはARL無しで処理可能となる。また、パターン幅が狭くなっても、ハードマスクの十分な機械的強度が、製造処理中に持続される。
産業上の利用性
本発明の少なくともひとつの実施例に従い、プラズマCVDによりポリマーハードマスク膜を形成することが可能となり、高集積次世代半導体デバイスの処理が容易になる。同時に、信頼性の高い半導体を廉価に供給することが可能になる。
上記したように、本発明は少なくとも以下の実施例を含む。
1)容量結合型プラズマCVD装置により、半導体基板上に炭化水素系ポリマー膜を形成する方法は、ビニル基またはアセチレン基により置換されない沸点が約20℃から約350℃の炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、Xは、O、NまたはF)を気化する工程と、気化したガス及びCO2ガスのような酸化ガスまたはH2ガスのような還元ガスを基板が配置されたCVD反応チャンバ内に導入する工程と、ガスをプラズマ重合することにより基板上に炭化水素系ポリマー膜を形成する工程と、膜が基板上に成膜される間、例えば約400℃以上の温度に基板温度を付加的に制御する工程とからなる。
2)上記1)に記載の方法であって、液体モノマーが環状炭化水素である、ところの方法。
3)上記2)に記載の方法であって、環状炭化水素は置換または非置換ベンゼンである、ところの方法。
4)上記3)に記載の方法であって、置換または非置換ベンゼンはC6H6-nRn(nは、0、1、2、または3、Rは個々に-CH3または-C2H5)である、ところの方法。
5)上記4)に記載の方法であって、液体モノマーは2種類以上の置換または非置換ベンゼンの組み合わせである、ところの方法。
6)上記4)に記載の方法であって、置換したベンゼンは1,3,5-トリメチルベンゼン、o-キシレン、m-キシレンまたはp-キシレンのいずれかである、ところの方法。
7)上記2)に記載の方法であって、環状炭化水素は、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、シクロオクタテトラエン、ペンタン、イソペンタン、またはネオペンタンのいずれかである、ところの方法。
8)上記1)に記載の方法であって、液体モノマーは直鎖状炭化水素である、ところの方法。
9)上記8)に記載の方法であって、直鎖状炭化水素はヘキサンである、ところの方法。
10)上記1)に記載の方法であって、液体モノマーはγがゼロの炭化水素である、ところの方法。
11)上記1)に記載の方法であって、液体モノマーのみが反応ガスとして使用される、ところの方法。
12)上記1)に記載の方法であって、液体モノマーは反応チャンバの上流側に配置されたヒータ内に導入され、気化される、ところの方法。
13)上記12)に記載の方法であって、液体モノマーはバルブによりヒータの上流側で流量制御され、ヒータ内への流入は流量制御バルブとヒータとの間に配置された遮断バルブによりブロックされ、膜形成中以外は80℃以下あるいは約50℃以上だけヒータ/気化器の温度より低い温度に維持される、ところの方法。
14)上記12)に記載の方法であって、液体モノマーは、ヒータの上流側に配置されたバルブにより流量制御され、膜形成中以外は80℃以下あるいは約50℃以上だけヒータ/気化器の温度より低い温度に維持される、ところの方法。
15)上記13)及び14)に記載の方法であって、液体モノマーは、バルブの下流側でかつヒータの上流側において不活性ガスと混合される、ところの方法。
16)上記1)に記載の方法であって、さらに、プラズマ重合前に反応チャンバ内に不活性ガスを導入する工程を含む、方法。
17)上記16)に記載の方法であって、不活性ガスは、Ar、He、Ne、Kr、Xe及びN2のひとつまたは2種以上の組み合わせである、ところの方法。
18)上記1)に記載の方法であって、添加ガスとして、有機ガスCnHm(ここで、nはゼロを含む4以下の整数、mは任意の自然数である)が反応チャンバ内にさらに導入される、ところの方法。
19)上記1)に記載の方法であって、添加ガスとして、N、O及びFを含むドライガスが反応チャンバ内にさらに導入される、ところの方法。
20)上記1)に記載の方法であって、プラズマ重合は、基板温度が約0℃〜約650℃、反応チャンバの圧力が約10Pa〜約1500Pa、RF電力密度が約0.01W/cm2から約20W/cm2の条件で実行される、ところの方法。
21)上記1)に記載の方法であって、プラズマ重合工程は、13.56MHz、27MHz、または60MHzの高い周波数のRF電力を使って実行される、ところの方法。
22)上記21)に記載の方法であって、高い周波数のRF電力のひとつと5MHz以下の低い周波数のRF電力が組み合わされる、ところの方法。
23)上記1)に記載の方法であって、基板は半導体基板である、ところの方法。
24)容量結合型プラズマCVD装置により、半導体基板上に炭化水素系ポリマー膜を形成する方法は、CVD反応チャンバ内に半導体基板を配置する工程と、沸点が約20℃から約350℃の炭化水素系液体モノマーCαHβXγ(ここでα及びβは5以上の自然数、γはゼロを含む整数、Xは、O、NまたはF)を気化する工程と、気化したガス及びCO2ガスのような酸化ガスまたはH2ガスのような還元ガスを基板が配置されたCVD反応チャンバ内に導入する工程と、ガスをプラズマ重合することにより基板上に炭化水素系ポリマー膜から成るハードマスクを形成する工程と、膜が基板上に成膜される間、例えば約400℃以上の温度で基板温度を付加的に制御する工程とからなる。
25)上記24)に記載の方法であって、液体モノマーは環状炭化水素である、ところの方法。
26)上記25)に記載の方法であって、環状炭化水素は置換または非置換ベンゼンである、ところの方法。
27)上記25)に記載の方法であって、置換または非置換ベンゼンはC6H6-nRn(ここで、nは0、1、2または3であり、Rは個々に-CH3または-C2H5、-CH=CH2)である、ところの方法。
28)上記27)に記載の方法であって、液体モノマーは2種類以上の置換または非置換ベンゼンの組み合わせである、ところの方法。
29)上記25)に記載の方法であって、置換したベンゼンは、1,3,5-トリメチルベンゼンo-キシレン、m-キシレン、p-キシレンのいずれかである、ところの方法。
30)上記25)に記載の方法であって、環状炭化水素は、シクロヘキセン、シクロヘキサジエン、シクロオクタテトラエン、ペンタン、イソペンタン、またはネオペンタンのいずれかである、ところの方法。
31)上記21)に記載の方法であって、液体モノマーは直鎖状炭化水素である、ところの方法。
32)上記31)に記載の方法であって、直鎖状炭化水素は、ペンタン、イソペンタン、ネオペンタン、ヘキサン1-ペンテン、1-ヘキセン、または1-ペンチンである、ところの方法。
33)上記24)に記載の方法であって、液体モノマーはγがゼロの炭化水素である、ところの方法。
34)上記24)に記載の方法であって、液体モノマーのみが反応ガスとして使用される、ところの方法。
35)上記24)に記載の方法であって、液体モノマーは、反応チャンバの上流側に配置されたヒータ内に導入され、気化される、ところの方法。
36)上記35)に記載の方法であって、液体モノマーは、バルブによりヒータの上流側で流量制御され、流量制御バルブとヒータとの間に配置された遮断バルブによりヒータ内への流入がブロックされ、膜形成中以外は80℃以下あるいは約50℃以上だけヒータ/気化器の温度より低い温度に維持される、ところの方法。
37)上記35)に記載の方法であって、液体モノマーは、ヒータの上流側に配置されたバルブにより流量制御され、膜形成中以外はヒータ内への流入がブロックされ、80℃以下あるいは約50℃以上だけヒータ/気化器の温度より低い温度に維持される、ところの方法。
38)上記37)に記載の方法であって、液体モノマーは、バルブの下流側であってかつヒータの上流において不活性ガスと混合される、ところの方法。
39)上記24)に記載の方法であって、さらに、プラズマ重合前に反応チャンバ内へ不活性ガスを導入する工程を含む、方法。
40)上記39)に記載の方法であって、不活性ガスは、Ar、He、Ne、Kr、Xe及びN2のひとつまたは2種以上の組み合わせである、ところの方法。
41)上記24)に記載の方法であって、添加ガスとして、有機ガスCnHm(ここで、nはゼロを含む4以下の整数、mは任意の自然数である)が反応チャンバ内にさらに導入される、ところの方法。
42)上記24)に記載の方法であって、添加ガスとして、N、O及びFを含むドライガスが反応チャンバ内にさらに導入される、ところの方法。
43)上記24)に記載の方法であって、プラズマ重合は、基板温度が約0℃〜約650℃、反応チャンバの圧力が約10Pa〜約1500Pa、RF電力密度が約0.01W/cm2から約20W/cm2の条件で実行される、ところの方法。
44)上記24)に記載の方法であって、プラズマ重合工程は、13.56MHz、27MHz、または60MHzの高い周波数のRF電力を使って実行される、ところの方法。
45)上記44)に記載の方法であって、高い周波数のRF電力のひとつと5MHz以下の低い周波数のRF電力が組み合わされる、ところの方法。
46)容量結合型プラズマCVD装置により、半導体基板上に炭化水素系ポリマー膜を形成する方法は、沸点が約20℃から約350℃の炭化水素系液体モノマー(CαHβXγ、α及びβは5以上の自然数、γはゼロを含む整数、Xは、O、NまたはF)を流量制御バルブ及び流量制御バルブの下流側に配置された遮断バルブを通じてヒータ内に導入し、約80℃以下に維持して気化する工程と、気化したガス及びCO2ガスのような酸化ガスまたはH2ガスのような還元ガスを基板が配置されたCVD反応チャンバ内に導入する工程と、ガスをプラズマ重合することにより基板上に炭化水素系ポリマー膜を形成する工程と、膜が基板上に成膜される間、例えば約400℃以上の温度で基板温度を付加的に制御する工程とからなる。
47)上記46)に記載の方法であって、液体モノマーは、遮断バルブの下流で、かつヒータの上流において、不活性ガスと混合される、ところの方法。
48)上記46)に記載の方法であって、さらに、液体モノマーのヒータ内への流入をブロックするために膜形成の完了後に遮断バルブを閉じる工程を含む、方法。
49)上記48)に記載の方法であって、遮断バルブを閉じた後、ヒータの内部は不活性ガスによりパージされる、ところの方法。
50)上記46)に記載の方法であって、液体モノマーは環状炭化水素である、ところの方法。
51)上記50)に記載の方法であって、環状炭化水素は置換または非置換ベンゼンである、ところの方法。
52)上記51)に記載の方法であって、置換または非置換ベンゼンはC6H6-nRn(ここで、nは0、1、2または3であり、Rは個々に-CH3または-C2H5、-CH=CH2)である、ところの方法。
図1は、本発明のひとつの実施例で使用されるCVD装置の例を略示したものである。 図2は、本発明のひとつの実施例で使用されるヒータ/気化器の例を略示したものである。 図3は、本発明のひとつの実施例で測定された炭化水素系ポリマー膜の成膜時間と膜厚との間の関係を示すグラフである。 図4は、本発明の実施例において形成される炭化水素系ポリマー膜がハードマスクとして使用される実施例を示す処理チャート図である。 図5は、本発明の実施例に従って、機械的硬度/消衰係数(k)とCO2の流量との間の関係を示すグラフである。

Claims (40)

  1. 容量結合型プラズマCVD装置により半導体基板上に炭化水素系ポリマー膜を形成する方法であって、
    ビニル基またはアセチレン基により置換されない、沸点が20℃から350℃の炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、Xは、O、NまたはF)を気化する工程と、
    基板が配置されたCVD反応チャンバ内に気化したガス及びCO2ガスまたはH2ガスを導入する工程と、
    前記ガスをプラズマ重合することにより半導体基板上に炭化水素系ポリマー膜を形成し、形成した炭化水素系ポリマー膜の波長が193nmの光の消衰係数及び機械的硬度をCO2ガスまたはH2ガスの流量の関数として、それぞれ0.38以下及び0.5GPa以上に制御する工程と、
    から成る方法。
  2. 請求項1に記載の方法であって、CO2ガスまたはH2ガスの流量は、気化したガスの流量より大きい、ところの方法。
  3. 請求項1に記載の方法であって、CO2ガスは350sccm以上の流量で使用される、ところの方法。
  4. 請求項2に記載の方法であって、CO2ガスは800sccm以上の流量で使用される、ところの方法。
  5. 請求項1に記載の方法であって、H2ガスは200sccm以上の流量で使用される、ところの方法。
  6. 請求項5に記載の方法であって、H2ガスは300sccm以上の流量で使用される、ところの方法。
  7. 請求項1に記載の方法であって、形成した炭化水素系ポリマー膜の消衰係数及び機械的硬度は、CO2ガスまたはH2ガスの流量の関数として、それぞれ0.35以下及び0.8GPa以上に制御される、ところの方法。
  8. 請求項1に記載の方法であって、形成した炭化水素系ポリマー膜の消衰係数及び機械的硬度は、基板の温度の関数としてさらに制御される、ところの方法。
  9. 請求項8に記載の方法であって、温度は400℃以上に制御される、ところの方法。
  10. 請求項1に記載の方法であって、前記液体モノマーは環状炭化水素である、ところの方法。
  11. 請求項10に記載の方法であって、前記環状炭化水素は置換または非置換ベンゼンである、ところの方法。
  12. 請求項11に記載の方法であって、前記置換または非置換ベンゼンはC6H6-nRn(ここでnは、0、1、2、または3、Rは個々に-CH3または-C2H5)である、ところの方法。
  13. 請求項12に記載の方法であって、置換したベンゼンは、1,3,5-トリメチルベンゼン、o-キシレン、m-キシレンまたはp-キシレンのいずれかである、ところの方法。
  14. 請求項1に記載の方法であって、液体モノマーは直鎖状炭化水素である、ところの方法。
  15. 請求項1に記載の方法であって、液体モノマーはγがゼロの炭化水素である、ところの方法。
  16. 請求項1に記載の方法であって、液体モノマーのみが反応ガスとして使用される、ところの方法。
  17. 請求項1に記載の方法であって、液体モノマーは反応チャンバの上流側に配置されたヒータ内に導入されて、気化される、ところの方法。
  18. 請求項17に記載の方法であって、前記液体モノマーはバルブによりヒータの上流側で流量制御され、流量制御バルブとヒータとの間に配置された遮断バルブにより膜形成中を除きヒータ内への流入がブロックされ、80℃以下の温度、あるいはヒータによる気化温度より少なくとも50℃低い温度に維持される、ところの方法。
  19. 請求項17に記載の方法であって、前記液体モノマーはヒータの上流側に配置されたバルブにより流量制御され、80℃以下の温度、あるいはヒータによる気化温度より少なくとも50℃低い温度に維持され、膜形成中を除きヒータ内への流入がブロックされる、ところの方法。
  20. 請求項1に記載の方法であって、さらに、プラズマ重合前に反応チャンバ内へ不活性ガスを導入する工程を含む、方法。
  21. 請求項1に記載の方法であって、添加ガスとして、有機ガスCnHm(ここで、nはゼロを含む4以下の整数、mは任意の自然数)がさらに反応チャンバ内に導入される、ところの方法。
  22. 請求項1に記載の方法であって、添加ガスとして、N、O及びFを含むドライガスがさらに反応チャンバ内に導入される、ところの方法。
  23. 容量結合型プラズマCVD装置により半導体基板上に炭化水素系ポリマー膜を形成する方法であって、
    CVD反応チャンバ内に半導体基板を配置する工程と、
    沸点が20℃から350℃の炭化水素系液体モノマーCαHβXγ(ここで、α及びβは5以上の自然数、γはゼロを含む整数、Xは、O、NまたはF)を気化する工程と、
    基板が配置されたCVD反応チャンバ内に、気化したガス及びCO2ガスまたはH2ガスを導入する工程と、
    ガスをプラズマ重合することにより半導体基板上に炭化水素系ポリマー膜を形成し、形成した炭化水素系ポリマー膜の波長が193nmの光の消衰係数及び機械的硬度をCO2ガスまたはH2ガスの流量の関数として、それぞれ0.38以下及び0.5GPa以上に制御する工程と、
    から成る方法。
  24. 請求項23に記載の方法であって、CO2ガスまたはH2ガスの流量は気化したガスの流量より大きい、ところの方法。
  25. 請求項23に記載の方法であって、CO2ガスは350sccm以上の流量で使用される、ところの方法。
  26. 請求項25に記載の方法であって、CO2ガスは800sccm以上の流量で使用される、ところの方法。
  27. 請求項23に記載の方法であって、H2ガスは200sccm以上の流量で使用される、ところの方法。
  28. 請求項27に記載の方法であって、H2ガスは300sccm以上の流量で使用される、ところの方法。
  29. 請求項23に記載の方法であって、形成した炭化水素系ポリマー膜の消衰係数及び機械的硬度は、CO2ガスまたはH2ガスの流量の関数として、それぞれ0.35以下及び0.8GPa以上に制御される、ところの方法。
  30. 請求項25に記載の方法であって、形成した炭化水素系ポリマー膜の消衰係数及び機械的硬度は、基板の温度の関数としてさらに制御される、ところの方法。
  31. 請求項30に記載の方法であって、温度は400℃以上に制御される、ところの方法。
  32. 請求項23に記載の方法であって、前記液体モノマーは環状炭化水素である、ところの方法。
  33. 請求項32に記載の方法であって、前記環状炭化水素は、置換または非置換ベンゼンである、ところの方法。
  34. 請求項23に記載の方法であって、前記液体モノマーは直鎖状炭化水素である、ところの方法。
  35. 請求項23に記載の方法であって、前記液体モノマーはγがゼロの炭化水素である、ところの方法。
  36. 請求項23に記載の方法であって、前記液体モノマーのみが反応ガスとして使用される、ところの方法。
  37. 請求項23に記載の方法であって、前記液体モノマーは反応チャンバの上流側に配置されたヒータ内に導入されて、気化される、ところの方法。
  38. 請求項37に記載の方法であって、液体モノマーはバルブによりヒータの上流側で流量制御され、流量制御バルブとヒータとの間に配置された遮断バルブにより、膜形成中を除きヒータ内への流入がブロックされ、80℃以下の温度、あるいは気化温度より少なくとも50℃低い温度に維持される、ところの方法。
  39. 請求項37に記載の方法であって、前記液体モノマーはヒータの上流側に配置されたバルブにより流量制御され、80℃以下の温度、あるいは気化温度より少なくとも50℃低い温度に維持され、膜形成中を除きヒータ内への流入がブロックされる、ところの方法。
  40. 請求項23に記載の方法であって、さらに、プラズマ重合前に不活性ガスを反応チャンバ内へ導入する工程を含む、方法。
JP2007069782A 2006-03-23 2007-03-19 プラズマcvdを使ったカーボンポリマー膜を形成する方法 Pending JP2007256950A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/387,527 US7410915B2 (en) 2006-03-23 2006-03-23 Method of forming carbon polymer film using plasma CVD

Publications (1)

Publication Number Publication Date
JP2007256950A true JP2007256950A (ja) 2007-10-04

Family

ID=38534049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007069782A Pending JP2007256950A (ja) 2006-03-23 2007-03-19 プラズマcvdを使ったカーボンポリマー膜を形成する方法

Country Status (3)

Country Link
US (1) US7410915B2 (ja)
JP (1) JP2007256950A (ja)
KR (1) KR100771926B1 (ja)

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7554103B2 (en) * 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7638441B2 (en) * 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US7632549B2 (en) * 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
KR101067503B1 (ko) * 2008-08-20 2011-09-27 시너스 테크놀리지, 인코포레이티드 인젝터를 구비한 플라즈마 반응기
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US20100189923A1 (en) * 2009-01-29 2010-07-29 Asm Japan K.K. Method of forming hardmask by plasma cvd
KR101172147B1 (ko) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7169910B2 (ja) * 2019-03-11 2022-11-11 東京エレクトロン株式会社 半導体装置の製造方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3719616A1 (de) * 1987-06-12 1988-12-29 Leybold Ag Verfahren und vorrichtung zur beschichtung eines substrats
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
GB2331626B (en) 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US8715790B2 (en) * 2001-07-27 2014-05-06 University Of Surrey Production of carbon nanotubes
KR20050004844A (ko) * 2002-05-08 2005-01-12 어플라이드 머티어리얼스, 인코포레이티드 전자 비임에 의한 저유전상수 필름의 경화 방법
KR100982419B1 (ko) * 2003-05-01 2010-09-15 삼성전자주식회사 탄소나노튜브를 이용한 반도체 소자의 배선 형성 방법 및이 방법에 의해 제조된 반도체 소자

Also Published As

Publication number Publication date
US7410915B2 (en) 2008-08-12
US20070224833A1 (en) 2007-09-27
KR20070096770A (ko) 2007-10-02
KR100771926B1 (ko) 2007-11-01

Similar Documents

Publication Publication Date Title
JP2007256950A (ja) プラズマcvdを使ったカーボンポリマー膜を形成する方法
JP4737748B2 (ja) Cvd法によるポリマー膜の形成方法
TWI675421B (zh) 超高模量與蝕刻選擇性的硼-碳硬遮罩膜
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
US7470633B2 (en) Method of forming a carbon polymer film using plasma CVD
TWI716421B (zh) 在pecvd系統中用以改善非晶硼-碳硬光罩製程之膜內顆粒效能之方法
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
US7632549B2 (en) Method of forming a high transparent carbon film
US20100104770A1 (en) Two-step formation of hydrocarbon-based polymer film
US20100189923A1 (en) Method of forming hardmask by plasma cvd
KR101161912B1 (ko) 비결정질 탄소 층의 고온 증착 방법
JP2007284793A (ja) 炭素質膜のセルフクリーニング方法
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
TW200928618A (en) Plasma surface treatment to prevent pattern collapse in immersion lithography
US7638441B2 (en) Method of forming a carbon polymer film using plasma CVD
CN113424297A (zh) 处理基板的方法
KR100880874B1 (ko) 플라즈마 cvd를 사용하여 탄소 중합체 막을 형성하는방법
KR20090033094A (ko) 탄소-기재 필름 전구체용으로서의 시클로펜텐

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331