TWI675421B - 超高模量與蝕刻選擇性的硼-碳硬遮罩膜 - Google Patents

超高模量與蝕刻選擇性的硼-碳硬遮罩膜 Download PDF

Info

Publication number
TWI675421B
TWI675421B TW105126857A TW105126857A TWI675421B TW I675421 B TWI675421 B TW I675421B TW 105126857 A TW105126857 A TW 105126857A TW 105126857 A TW105126857 A TW 105126857A TW I675421 B TWI675421 B TW I675421B
Authority
TW
Taiwan
Prior art keywords
boron
carbon film
substrate
processing volume
containing gas
Prior art date
Application number
TW105126857A
Other languages
English (en)
Other versions
TW201730962A (zh
Inventor
派瑞尚特庫馬 庫許魯須薩
子青 段
卡席克辛馬瓦朱拉 娜拉辛赫
李光德道格拉斯
秉憲 金
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201730962A publication Critical patent/TW201730962A/zh
Application granted granted Critical
Publication of TWI675421B publication Critical patent/TWI675421B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明的實施例大體而言係關於積體電路製造。更具體而言,本文所述實施例提供用於沉積硼-碳膜至基板上的技術。在一實施例中,提供處理基板的方法。方法包含流入含烴氣體混合物至處理腔室的處理容積,處理容積具有基板放置於內,其中基板經加熱達約400℃至約700℃的基板溫度、流入含硼氣體混合物至處理容積,及在處理容積內產生RF電漿,以於加熱基板上沉積硼-碳膜,其中硼-碳膜具有約200 GPa至約400 GPa的彈性模量和約-100 MPa至約100 MPa的應力。

Description

超高模量與蝕刻選擇性的硼-碳硬遮罩膜
本發明的實施例大體而言係關於積體電路製造。更具體而言,本文所述實施例提供用於沉積硼-碳膜至基板上的技術。
積體電路已發展成單一晶片包括數百萬個電晶體、電容器和電阻器的複雜裝置。晶片設計發展不斷要求更快速電路和更高電路密度。對快速電路與高電路密度的要求相應推動了對用於製造此類積體電路的材料需求。特別地,由於積體電路部件尺寸縮減至奈米級,現須使用低電阻率的導電材料和低介電常數的絕緣材料,以從此類組分獲得適當電氣效能。
對較高電路密度的要求亦推動了對用於製造積體電路部件的處理程序要求。例如,在採用習知光刻技術的處理程序中,能敏阻劑層形成在置於基板上的材料層堆疊上方。能敏阻劑層曝露至圖案影像而形成光阻遮罩。隨後,利用蝕刻製程,將遮罩圖案轉移到堆疊的一或更多材料層。用於蝕刻製程的化學蝕刻劑乃選擇為對堆疊的材料層的蝕刻選擇性比能敏阻劑遮罩大。亦即,化學蝕刻劑蝕刻材料堆疊的一或更多層的速率比能 敏阻劑層快得多。對堆疊的一或更多材料層的蝕刻選擇性高於阻劑層可防止能敏阻劑層在完成圖案轉移前耗盡。
隨著圖案尺寸縮小,能敏阻劑層厚度勢必相應減小,以控制圖案解析度。在圖案轉移步驟期間,此類薄阻劑層可能遭化學蝕刻劑侵蝕而不足以遮蔽底下材料層。稱作硬遮罩的中間層具高化學蝕刻劑抗性,故常用於能敏阻劑層與底下材料層之間,以協助圖案轉移。薄硬遮罩最好兼具高蝕刻選擇性,且在完成蝕刻製程後容易移除。隨著關鍵尺寸(CD)減小,現行硬遮罩材料缺少相對底下材料的預定蝕刻選擇性,且通常很難移除。
硼-碳膜具有優良的機械性質、優異的階梯覆蓋性、良好的濕蝕刻抗性,且對低介電膜具高乾蝕刻選擇性。所有特性皆有益諸如光刻硬遮罩至低k介電質蝕刻和自對準雙重圖案化製程等應用。然因非晶本性,非晶硼膜易具高膜應力,導致線彎曲而損壞積體電路。非晶碳膜的蝕刻選擇性差,故需要厚硬遮罩。厚硬遮罩並不適用,因為透明度下降,及圖案彎曲或於高深寬比時崩塌。
因此,需要具改良蝕刻選擇性的透明硬遮罩膜。亦需用於沉積改良硬遮罩層的方法。
本發明的實施例大體而言係關於積體電路製造。更具體而言,本文所述實施例提供用於沉積硼-碳膜 至基板上的技術。在一實施例中,提供處理基板的方法。方法包含流入含烴氣體混合物至處理腔室的處理容積,處理容積具有基板放置於內,其中基板經加熱達約400℃至約700℃的基板溫度、流入含硼氣體混合物至處理容積,及在處理容積內產生RF電漿,以於加熱基板上沉積硼-碳膜,其中硼-碳膜具有約200GPa(吉帕)至約400GPa的彈性模量和約-100MPa(兆帕)至約100MPa的應力。
在另一實施例中,提供處理基板的方法。方法包含流入含烴氣體混合物至處理腔室的處理容積,處理容積具有基板放置於內,其中基板經加熱達約400℃至約700℃的基板溫度,其中含硼氣體混合物包含二硼烷(B2H6)、流入含硼氣體混合物至處理容積,其中含烴氣體混合物包含丙烯(C3H6),及在處理容積內產生RF電漿,以於加熱基板上沉積硼-碳膜,其中硼-碳膜具有約200至約400GPa的彈性模量和約-100MPa至約100MPa的應力。
在又一實施例中,提供處理基板的方法。方法包含流入含烴氣體混合物至處理腔室的處理容積,處理容積具有基板放置於內,其中基板經加熱達約400℃至約700℃的基板溫度、流入含硼氣體混合物至處理容積、穩定處理容積的壓力,計預定RF開啟延遲時間、在處理容積內產生RF電漿,以於加熱基板上沉積硼-碳膜,其中硼-碳膜具有約200GPa至約400GPa的彈性 模量和約-100MPa至約100MPa的應力、形成圖案化光阻層至硼-碳膜上方、依對應圖案化光阻層的圖案,蝕刻硼-碳膜、將圖案蝕刻到基板內,及沉積材料至硼-碳膜的蝕刻部分中。
100‧‧‧處理腔室
101‧‧‧側壁
102‧‧‧真空泵
106‧‧‧電源
110‧‧‧控制器
112‧‧‧CPU
114‧‧‧支援電路
116‧‧‧記憶體
118‧‧‧信號匯流排
120‧‧‧氣體分配組件
122‧‧‧底壁
124‧‧‧頂壁
126‧‧‧處理容積
128‧‧‧口孔
130‧‧‧氣體面板
132‧‧‧基板處理系統
138‧‧‧匹配網路
140‧‧‧RF電源
150‧‧‧底座
160‧‧‧杵桿
170‧‧‧加熱元件
172‧‧‧溫度感測器
190‧‧‧基板
191、192‧‧‧表面
200‧‧‧方法
210、220、230、240、250、260‧‧‧操作
304‧‧‧硼-碳膜
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
第1圖圖示可用於實踐本文所述實施例的設備示意圖;第2圖圖示根據本文所述實施例,用於沉積硼-碳膜的一個方法實施例的製程流程圖;第3圖圖示根據本文所述實施例,併入含硼-碳膜做為硬遮罩層的基板結構截面示意圖;第4A圖係根據本文所述實施例,溫度與硼併入對硼-碳膜沉積速率的影響的曲線圖;第4B圖係根據本文所述實施例,溫度與硼併入對硼-碳膜光學性質的影響的曲線圖;第4C圖係根據本文所述實施例,溫度與硼併入對硼-碳膜的膜密度的影響的曲線圖;第4D圖係根據本文所述實施例,溫度與硼併入對硼-碳膜應力的影響的曲線圖; 第5A圖係根據本文所述實施例,壓力對硼-碳膜的應力和膜密度的影響的曲線圖;第5B圖係根據本文所述實施例,間距對硼-碳膜的應力和膜密度的影響的曲線圖;第6圖係根據本文所述實施例,硼百分比對硼-碳膜的氧化物蝕刻選擇性和鎢蝕刻選擇性的影響的條狀圖;第7A圖係根據本文所述實施例,溫度對硼-碳膜的氧化物蝕刻選擇性和應力的影響的條狀圖;第7B圖係根據本文所述實施例,氮流率對硼-碳膜的氧化物蝕刻選擇性和應力的影響的條狀圖;第7C圖係根據本文所述實施例,溫度對硼-碳膜的鎢/矽蝕刻選擇性和應力的影響的條狀圖;及第7D圖係根據本文所述實施例,氮流率對硼-碳膜的鎢/矽蝕刻選擇性和應力的影響的條狀圖。
為助於理解,儘可能以相同的元件符號代表各圖中共用的相似元件。應理解某一實施例的元件和特徵結構當可有益地併入其他實施例,在此不另外詳述。然應注意附圖僅為舉例說明本發明的示例性實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
下文描述用於沉積硼-碳膜至基板上的技術並具有高模量和蝕刻選擇性。某些細節在下文與第1圖至 第7D圖中提出,以徹底了解本發明的各種實施例。下文則不提及通常與硼-碳膜相關的其他已知結構和系統描述細節,以免不必要地讓各種實施例敘述變得晦澀難懂。
許多圖示細節、尺寸、角度和其他特徵結構僅為舉例說明特定實施例。故在不脫離本發明的精神和範圍情況下,其他實施例可具有其他細節、部件、尺寸、角度和特徵結構。此外,可在沒有以下描述的細節中的若干細節的情況下實踐本發明的其他實施例。
具高蝕刻選擇性的硬遮罩係發展~10奈米或更小尺寸裝置的基石。相較於先前非晶碳硬遮罩膜,現行低溫含硼的碳硬遮罩可達成良好的蝕刻選擇性、機械強度、透明度且容易剝除。然低溫含硼硬遮罩膜的非晶本性、高併入氫和低模量(~100GPa)限制了高深寬比特徵結構和小尺寸裝置的製造。為實現下一代積體電路晶片組,本發明的實施例提供在更高溫度(例如400℃)下製造高密度硼-碳硬遮罩膜。相較於現行可用低溫含硼硬遮罩膜,本文所述高密度硼-碳硬遮罩膜實施例具有減小的膜內H2含量和增加的模量,同時維持低應力、透明度和高沉積速率。所述硼-碳硬遮罩膜的超高蝕刻選擇性容許對下一代NAND與DRAM裝置中的高深寬比特徵結構進行單次圖案轉移。本文所述硼-碳硬遮罩膜能抗圖案崩塌、具優異的關鍵尺寸(CD)控制和高圖案解析度,故非常適合7-10奈米裝置。此外,因對底下層有極佳蝕刻選擇性,本文所述硼-碳硬遮罩膜可比現行膜薄 (例如,比相同裝置尺寸薄約30%至40%),故可縮短沉積及剝除時間。本發明的實施例提供具超高模量(200GPa)和高蝕刻選擇性(較現行硬遮罩膜有>4倍改良效果)的硼-碳膜。
膜可使用各種碳前驅物(例如丙烯、乙炔、乙烯、甲烷、己烷、己烷、異戊二烯、丁二烯等)、硼源(例如二硼烷(B2H6)、鄰碳硼烷與三甲基硼氮炔)和含氮前驅物(例如吡啶、脂族胺、胺、腈、氨)來沉積。依據不同膜沉積參數的系統分析,包括溫度(例如400至700℃、550至650℃)、壓力(例如2至20托耳、10至20托耳)、硼前驅物流率(例如100-6000sccm(標準立方公分每分鐘))、硼前驅物的H2稀釋(例如2%至20%)和RF功率(例如500至2500瓦),測定了高溫下的消光係數(k)和應力明顯高於低溫下。
高k和應力會不當影響膜組成且不利光刻圖案轉移。在很高膜應力下,殘餘應力將造成膜從下層剝離或產生大量顆粒缺陷。類似地,膜的吸收/消光係數強烈取決於溫度,並受形貌和分子結構非晶碳結構(即SP2/SP3鍵)和硼併入驅策。因此,本文所述實施例提供膜沉積參數(B2H6流量、基板至電極間距、RF功率、腔室壓力等),用以沉積k<0.01且應力<±100MPa的硼-碳膜。
本文所述實施例將參照PECVD製程描述如下,PECVD製程可利用任何適合的薄膜沉積系統施 行。適合的系統實例包括CENTURA®系統(此可使用DxZTM處理腔室)、PRECISION 5000®系統、PRODUCERTM系統、PRODUCER GTTM與PRODUCER SETM處理腔室,以上可取自位於美國加州聖克拉拉的應用材料公司(Applied Materials,Inc.)。其他能進行PECVD製程的工具亦可改造而受惠本文所述實施例。此外,任何能施行本文所述PECVD製程的系統可有利使用。本文所述設備為舉例說明,故不應推斷或解釋為限定本文所述實施例的範圍。
用語「約」大體表示在指示值的±0.5%或至多1%內。此外,用語「約」可表示量測誤差(即受限於量測方法)或群組(例如一群孔隙)的物理特性變量或平均。
第1圖圖示根據本文所述實施例,基板處理系統132的示意圖,用於進行非晶碳層沉積。基板處理系統132包括處理腔室100耦接至氣體面板130和控制器110。處理腔室100通常包括頂壁124、側壁101和底壁122,以界定內部處理容積126。用於支撐基板190的支撐底座150設在處理腔室100的內部處理容積126。支撐底座150由杵桿160支撐,且通常由鋁、陶瓷和其他適合材料製成。支撐底座150可利用移位機構(未圖示)在處理腔室100內朝垂直方向移動。
支撐底座150可包括嵌入式加熱器元件170,加熱元件適於控制支撐在支撐底座150的表面 192的基板190的溫度。藉由施加電源106的電流至嵌入式加熱器元件170,可電阻式加熱支撐底座150。嵌入式加熱器元件170可由包覆於鎳-鐵-鉻合金(例如INCOLOY®)鞘管的鎳-鉻絲製成。電源106供應的電流由控制器110調節,以控制嵌入式加熱器元件170產生的熱,從而使基板190和支撐底座150在膜沉積期間維持呈實質恆定溫度。供應電流可調整以選擇性將支撐底座150的溫度控制在約400℃至約700℃之間。
溫度感測器172(例如熱耦)可嵌入於支撐底座150,以習知方式監控支撐底座150的溫度。量測溫度由控制器110用於控制供給嵌入式加熱器元件170的功率,使基板190維持呈期望溫度。
真空泵102耦接至形成於處理腔室100底部的埠口。真空泵102用於使處理腔室100維持在期望氣壓。真空泵102亦可將後處理氣體和製程副產物排出處理腔室100。
基板處理系統132可進一步包括附加裝備來控制腔室壓力,例如設在處理腔室100與真空泵102間的閥(例如節流閥和隔離閥),用以控制腔室壓力。
具複數個口孔128的氣體分配組件120設在處理腔室100頂部的支撐底座150上方。氣體分配組件120的口孔128用於將處理氣體引入處理腔室100。口孔128可具有不同尺寸、數量、分佈、形狀、設計和直徑,以就不同製程要求協助各種處理氣體流入。氣體分 配組件120連接至氣體面板130,以容許在製程期間供應各種氣體至內部處理容積126。電漿由出自氣體分配組件120的處理氣體混合物形成,以加強處理氣體熱分解,使材料沉積至基板190的表面191。
氣體分配組件120和支撐底座150可構成內部處理容積126中的一對分隔電極。一或更多RF電源140經由匹配網路138提供偏壓電位至氣體分配組件120,以助於在氣體分配組件120與支撐底座150間產生電漿。或者,RF電源140和匹配網路138可耦接至氣體分配組件120、支撐底座150,或耦接至氣體分配組件120與支撐底座150,或耦接至設在處理腔室100外部的天線(未圖示)。在一實施例中,RF電源140以約50千赫至約13.6兆赫的頻率提供約100瓦至約3000瓦。在另一實施例中,RF電源140以約50千赫至約13.6兆赫的頻率提供約500瓦至約1800瓦。
控制器110包含中央處理單元(CPU)112、記憶體116和支援電路114,用以控制處理程序及調節氣體面板130的氣體流量。CPU 112可為任一用於工業設定的通用電腦處理器類型。軟體常式可儲存於記憶體116,例如隨機存取記憶體、唯讀記憶體、軟碟、硬碟或其他類型的數位儲存器。支援電路114以習知方式耦接至CPU 112,且可包括高速緩衝記憶體、時脈電路、輸入/輸出系統、電源等。經由許多信號電纜可操縱控制器110與基板處理系統132的各種部件間的雙向通訊, 信號電纜統稱信號匯流排118,部分信號電纜乃圖示於第1圖。
其他沉積腔室亦可受惠本發明,上列參數可根據用於形成非晶碳層的特定沉積腔室改變。例如,其他沉積腔室可具有更大或更小容積,所需氣體流率比取自應用材料公司的沉積腔室提及氣體流率大或小。在一實施例中,硼-碳膜可利用取自位於美國加州聖克拉拉的應用材料公司的PRODUCER SETM或PRODUCER GTTM處理腔室及使用下表1所列參數沉積。
剛沉積(as-deposited)的硼-碳膜的硼量/百分比可視應用而異。硼併入膜內的原子百分比計算如下:((B/(B+C)%)。在本發明的不同實施例中,硼-碳膜可含有至少5、8、10、15、20、25、30、35、40、45、50、55、60或65原子百分比的硼。硼-碳膜可含有至多8、10、15、20、25、30、35、40、45、50、55、60、65或70原子百分比的硼。硼-碳膜可含有約5至約70原子百分比的硼。硼-碳膜可含有約30至約70原子百分比的硼。硼-碳膜可含有約50至約60原子百分比的硼。碳併入膜內的原子百分比計算如下:((C/(B+C)%)。硼-碳膜可含有至少30、35、40、45、50、55、60、65、70、75、80、85或90原子百分比的碳。硼-碳膜可含有至多35、40、45、50、55、60、65、70、75、80、85、90或95原子百分比的碳。硼-碳膜可含有約30至約95原子百分比的碳。硼-碳膜可含 有約30至約70原子百分比的碳。硼-碳膜可含有約35至約50原子百分比的碳。硼-碳膜可含有至少10、15或20原子百分比的氫。硼-碳膜可含有至多15、20或25原子百分比的氫。硼-碳膜可含有約10至約25原子百分比的氫。硼-碳膜可含有約15至約20原子百分比的氫。在某些實施例中,當氮用作前驅物時,硼-碳膜可含有至少2、5、8、10或15原子百分比的氮。硼-碳膜可含有至多5、8、10、15或20原子百分比的氮。硼-碳膜可含有約2至約15原子百分比的氮。硼-碳膜可含有約5至約10原子百分比的氮。
通常,以下示例性沉積製程參數可用於形成含硼非晶碳層。製程參數可為約400℃至約700℃的晶圓溫度(例如約500℃至約700℃、約550℃至約650℃)。腔室壓力可為約1托耳至約20托耳的腔室壓力(例如約2托耳至約15托耳、約12托耳至約15托耳)。含烴氣體流率可為約200sccm至約5000sccm(例如約200sccm至約2000sccm、約500sccm至約700sccm)。稀釋氣體流率可個別為約0sccm至約5000sccm(約3000sccm至約5000sccm、約3500sccm至約4500sccm)。鈍氣流率可個別為約0sccm至約10000sccm(例如約200sccm至約2000sccm、約400sccm至約500sccm)。含硼氣體混合物流率可為約100sccm至約15000sccm(例如約200sccm至約6000sccm、約4000sccm至約5000sccm)。 RF功率可為約1000瓦至3000瓦。RF功率可為約1瓦/平方吋(W/in2)至約100W/in2(例如約3W/in2至約20W/in2)。基板190的頂表面191與氣體分配組件120間的平板間距可為約200密耳至約1000密耳(例如約200密耳至約600密耳、約300密耳至約400密耳)。硼-碳膜的沉積厚度可為約100埃(Å)至約30000Å。硼-碳膜的沉積厚度可為約1000Å至約18000Å。硼-碳膜的沉積厚度可為約100Å至約20000Å,例如約300Å至約5000Å。上述製程參數提供約100Å/分鐘至約10000Å/分鐘的典型含硼非晶碳層沉積速率,且可在取自位於美國加州聖克拉拉的應用材料公司的沉積腔室中實施於300毫米(mm)基板。
剛沉積的硼-碳膜可具有大於2.5的折射率(n)(633nm),例如約2.7(例如約2.5至約3.0)。剛沉積的硼-碳膜可具有小於0.1的k值(k於633nm 處),例如約0.01至約0.09、約0.04至約0.06、約0.06或以下。剛沉積的硼-碳膜可具有約200至約400MPa的彈性模量(GPa)(例如約200至約350MPa、約210至約320MPa、約212GPa)。剛沉積的硼-碳膜可具有約-200MPa至約200MPa的應力(MPa)(例如約-150MPa至約150MPa、約-100MPa至約100MPa)。剛沉積的硼-碳膜可具有大於1.5克/立方公分的密度(g/cc),例如約1.9g/cc或以上,例如2.0g/cc(例如約1.5g/cc至約2.5g/cc、約1.5g/cc至約2.0g/cc)。
第2圖圖示根據本文所述實施例,用於沉積硼-碳膜的方法200的一個實施例的製程流程圖。方法200始於操作210:提供基板至處理腔室的處理容積。處理腔室可為第1圖所示處理腔室100。基板可為第1圖所示基板190。如第3圖所示,基板190的表面191為實質平坦。或者,基板190可具有圖案化結構,例如表面具有溝槽、孔洞或通孔形成於內。基板190亦可具有期望高度結構形成於上或內的實質平坦表面。儘管基板190係繪示為單一主體,但應理解基板190可含有一或更多材料用於形成半導體裝置,例如金屬觸點、溝槽隔離區、閘極、位元線或任何其他內連線特徵結構。基板190可包含一或更多金屬層、一或更多介電材料、半導體材料和上述組合物用於製造半導體裝置。例如,基板190可視應用包括氧化物材料、氮化物材料、多晶矽材 料等。在期望記憶體應用的一個實施例中,基板190可包括矽基板材料、氧化物材料和氮化物材料,並且具有或不具多晶矽夾設其間。
在另一實施例中,基板190可包括複數個氧化物與氮化物交替材料(即氧化物-氮化物-氧化物(ONO))(未圖示)沉積於基板190的表面191。在不同實施例中,基板190可包括複數個氧化物與氮化物交替材料、一或更多氧化物或氮化物材料、多晶矽或非晶矽材料、氧化物與非晶矽交替、氧化物與多晶矽交替、未摻雜矽與摻雜矽交替、未摻雜多晶矽與摻雜多晶矽交替、或未摻雜非晶矽與摻雜非晶矽交替。基板190可為進行膜處理的任何基板或材料表面。例如,基板190可為材料,例如結晶矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓與圖案化或非圖案化晶圓、絕緣層覆矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、低k介電質和上述組合物。
在操作220中,使含烴氣體混合物流入內部處理容積126。含烴氣體混合物可從氣體面板130經由氣體分配組件120流入內部處理容積126。氣體混合物可包括至少一烴化合物。氣體混合物可進一步包括鈍氣、稀釋氣體、含氮氣體或上述組合物。烴可為任何液體或氣體,但較佳前驅物在室溫下為蒸汽,以簡化用於材料計量、控制及輸送到腔室的硬體。
在一實施例中,碳源係氣態烴,例如直鏈烴。在一實施例中,烴化合物具通式CxHy,其中x為1至20,y為1至20。在一實施例中,烴化合物係烷烴。適合烴化合物例如包括烷烴,例如甲烷(CH4)、乙烷(C2H6)、丙烯(C3H6)、丙烷(C3H8)、丁烷(C4H10)與其異構物異丁烷、戊烷(C5H12)與其異構物異戊烷和新戊烷、己烷(C6H14)與其異構物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷和2,2-二甲基丁烷、或上述組合物。附加適合烴例如包括烯烴,例如乙炔、乙烯、丙烯、丁烯與其異構物、戊烯與其異構物等、二烯(例如丁二烯、異戊二烯、戊二烯、己二烯)或上述組合物。附加適合烴例如包括鹵化烯烴,例如單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯或上述組合物。附加適合烴例如包括炔烴,例如乙炔(C2H2)、丙炔(C3H4)、丁烯(C4H8)、乙烯基乙炔或上述組合物。附加適合烴例如包括芳烴,例如苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、酚、甲酚、呋喃等、α-萜品烯、異丙基甲苯、1,1,3,3-四甲基丁基苯、叔丁基醚、叔丁基乙烯、甲基丙烯酸甲酯與叔丁基糠基醚、具式C3H2和C5H4的化合物、包括單氟苯、二氟苯、四氟苯、六氟苯的鹵化芳族化合物、或上述組合物。在一實例中,C3H6尤佳,此係因可形成更穩定的中間產物,而容許更大的表面移動性。
適合的稀釋氣體可依需求加入氣體混合物,例如氦(He)、氬(Ar)、氫(H2)、氮(N2)、氨(NH3)或上述組合物等。Ar、He和N2用於控制非晶碳層的密度和沉積速率。在一些情況下,加入N2及/或NH3可用於控制非晶碳層的氫比率,此將說明於後。或者,沉積期間可不使用稀釋氣體。
含氮氣體可伴隨含烴氣體混合物供應至處理腔室100。適合的含氮化合物例如包括吡啶、脂族胺、胺、腈、氨和類似化合物。
鈍氣可伴隨含烴氣體混合物供應至處理腔室100,例如氬(Ar)及/或氦(He)。其他鈍氣亦可用於控制非晶碳層的密度和沉積速率,例如氮(N2)和一氧化氮(NO)。此外,各種其他處理氣體可加入氣體混合物,以修改非晶碳材料的性質。在一實施例中,處理氣體可為反應氣體,例如氫(H2)、氨(NH3)、氫(H2)與氮(N2)混合物或上述組合物。加入H2及/或NH3可用於控制沉積非晶碳層的氫比率(例如碳氫比)。存於非晶碳膜的氫比率提供層性質控制,例如反射率。
在操作230中,使含硼氣體混合物流入內部處理容積126。含硼氣體混合物可從氣體面板130經由氣體分配組件120流入內部處理容積126。在一實施例中,含硼氣體混合物包含含硼化合物和鈍氣。含硼化合物實例包括二硼烷(B2H6)、三甲基硼(TMB或B(CH3)3)、三乙基硼(TEB)、甲基硼、二甲基硼、 乙基硼、二乙基硼、鄰碳硼烷(C2B10H12)和類似化合物。
在一實施例中,總含硼氣體混合物中的含硼化合物百分比為約2%至約25%(例如約10%至約20%、約15%至約20%、約2%至約15%或約4%至約12%)。示例性含硼氣體混合物可包括5% B2H6/95% N2、5% B2H6/95% He、10% B2H6/90% He、5% B2H6/95% Ar、10% B2H6/90% Ar、5% B2H6/95% H2或20% B2H6/80% H2。應理解當使用不同的含硼氣體混合物濃度時,可相應改變流率,以達成某些膜性質。例如,在一實施例中,5%二硼烷做為含硼氣源,含硼氣體混合物的流率可為約5000sccm至約15000sccm,例如約13000sccm。在另一實施例中,10.5%二硼烷做為含硼氣源,含硼氣體混合物的流率可為約4000sccm至約10000sccm,例如約6000sccm至約7000sccm。在又一實施例中,20%二硼烷做為含硼氣源,含硼氣體混合物的流率可為約100sccm至約6000sccm,例如約4000sccm至約6000sccm。
第2圖圖示一實施例,其中在操作250中開啟RF電漿前,將含烴氣體混合物和含硼氣體混合物引入內部處理容積126。在此實施例中,含烴氣體混合物可引入內部處理容積126較久的時間,例如約5秒至約30秒,例如約15秒,此視基板尺寸而定。在引入含硼氣體前流入含烴氣體混合物咸信可提供內部處理容積126連 續的熱和壓力穩定。含硼氣體混合物接著流入內部處理容積126,計約0.5秒至約5秒,例如約1秒至約2秒(流入時間可能有所不同,只要讓含硼氣體混合物流動恰夠久而得以在操作250中觸發RF電漿前開始抵達內部處理容積126)。操作230的製程可同時、相繼或與操作220的製程部分重疊進行。
視情況而定,在操作240中,穩定處理容積的壓力,計預定RF開啟延遲時間。預定RF開啟延遲時間係固定時間延遲並定義為在引入含硼氣體混合物至處理容積與在操作250中觸發或產生RF電漿之間的時間。任何適合的固定時間延遲都可用來達成預定條件。RF開啟延遲時間長度通常為選擇使含硼氣體混合物在處理容積中不會開始熱分解或實質熱分解。操作240的製程可同時、相繼或與操作220和操作230的製程部分重疊進行。
在操作250中,操作240的預定RF開啟延遲時間屆滿後,在內部處理容積126中產生RF電漿,以於基板190上沉積硼-碳膜304。電漿可利用電容或感應手段形成,及可將RF功率耦合至前驅氣體混合物而激發。RF功率可為具高頻分量與低頻分量的雙頻RF功率。RF功率通常以約50瓦(W)至約2500W的功率位準施加,且可為所有高頻RF功率,例如頻率約13.56兆赫,或可為高頻功率與低頻功率混合物,例如頻率約300千赫。 含烴氣體混合物和含硼氣體混合物可持續流入,直到達成期望厚度的硼-碳膜304為止。
硼-碳膜304的厚度視處理階段而異。在一實施例中,硼-碳膜304的沉積厚度可為約100Å至約30000Å(例如約1000Å至約18000Å、約100Å至約20000Å、約300Å至約5000Å、約1000Å至約2000Å)之間。視情況而定,在操作260中,對處理容積進行電漿淨化。淨化氣體可從淨化氣源流入處理腔室100。氣體分配組件120和支撐底座150經通電產生淨化氣體電漿。可用於處理腔室100的淨化氣體包括NH3、N2、N2O、H3、Ar、He和其他適合電漿淨化氣體。淨化處理期間,可維持處理腔室100內的熱和壓力。電漿淨化調節曝露層表面以供附加沉積。調節表面可造成層間平滑界面與較佳層間黏附性,及較佳微粒控制。在一些實施例中,粗糙界面期有利較佳層接合,且可進行不同或附加電漿淨化製程。完成電漿淨化後,關閉供給氣體分配組件120和支撐底座150的能量,使淨化氣體從淨化氣源流入處理腔室100,以移除所有氣體污染物。在一實施例中,在淨化處理期間,停止前驅氣體的一或更多組分。例如,若處理氣體包括二硼烷、丙烯與He的混合物,則淨化氣體可僅包括He,並關掉二硼烷和丙烯流入。在其他實施例中,可使用一或更多的不同淨化氣體。
在操作260的製程後,可對基板190進行附加處理。
硼-碳膜304可利用標準光阻圖案化技術圖案化。圖案化光阻(未圖示)可形成在硼-碳膜304上方。硼-碳膜304可依對應圖案化光阻層的圖案蝕刻,隨後將圖案蝕刻到基板190內。材料可沉積至硼-碳膜304的蝕刻部分中。硼-碳膜304可使用包含過氧化氫與硫酸的溶液移除。包含過氧化氫與硫酸的一種示例性溶液已知為Piranha溶液或Piranha蝕刻液。硼-碳膜304亦可使用含氧與鹵素(例如氟或氯)的蝕刻化學品移除,例如Cl2/O2、CF4/O2、Cl2/O2/CF4。硼-碳膜304可利用化學機械研磨(CMP)製程移除。
流入含烴氣體混合物至處理容積(操作220)、流入含硼氣體混合物至處理容積(操作230)、選擇性穩定處理容積的壓力(操作240)及在處理容積中產生RF電漿以沉積硼-碳膜(操作250)可反覆進行,直到達成預定厚度為止。
實例:以下非限定實例乃提供以進一步說明本文所述實施例。然該等實例無意包括一切且不擬限定本文所述實施例的範圍。測試及評估電漿CVD輔助沉積的各種含硼、氮與碳膜的光學性質(n/k/厚度)、機械性質(應力/模量/硬度/應變能量)、蝕刻選擇性和組成/形貌行為(B、H、C含量)。依據不同膜沉積參數的系統分析, 包括溫度、壓力、硼前驅物流率、硼前驅物的H2稀釋和RF功率,測定了硼-碳膜在高溫下的消光係數(k)和應力明顯高於低溫下。
表1列出非晶碳膜(APF)參考及利用已知技術形成硼-碳膜的硼-碳膜性質,並比較根據本文所述實施例形成高蝕刻選擇性硼-碳膜的性質。硼併入膜內的百分比計算如下:((B/(B+C)%)。
第4A圖係根據本文所述實施例,溫度(400℃、480℃、550℃、650℃)與硼併入(12%、24%、36%、48%、60%)對硼-碳膜的沉積速率的影響的曲線圖。y軸代表沉積速率(Å/分鐘)。x軸代表最終硼-碳膜的硼百分比。如第4A圖所示,隨著二硼烷流率增加,硼-碳膜的沉積速率亦隨之增加。
第4B圖係根據本文所述實施例,溫度(400℃、480℃、550℃、650℃)與硼併入(12%、24%、 36%、48%、60%)對硼-碳膜的消光係數(k)的影響的曲線圖。y軸代表消光係數(k)。x軸代表最終硼-碳膜的硼百分比。如第4B圖所示,隨著二硼烷流率增加,硼-碳膜的消光係數(k)將減小。又如第4B圖所示,隨著溫度提高,硼-碳膜的消光係數(k)將增大。
第4C圖係根據本文所述實施例,溫度(400℃、480℃、550℃、650℃)與硼併入(12%、24%、36%、48%、60%)對硼-碳膜的膜密度(g/cc)的影響的曲線圖。如第4C圖所示,隨著二硼烷流率增加,硼-碳膜的密度大體保持恆定。又如第4C圖所示,隨著溫度提高,硼-碳膜的密度將提高。
第4D圖係根據本文所述實施例,溫度(400℃、480℃、550℃、650℃)與硼併入(12%、24%、36%、48%、60%)對硼-碳膜的應力(MPa)的影響的曲線圖。如第4D圖所示,隨著二硼烷流率增加,硼-碳膜的應力(MPa)將減小。又如第4D圖所示,隨著溫度提高,硼-碳膜的應力將增大。
第5A圖係根據本文所述實施例,壓力對硼-碳膜的應力和膜密度的影響的曲線圖。第5B圖係根據本文所述實施例,間距對硼-碳膜的應力和膜密度的影響的曲線圖。第5A圖及第5B圖圖示利用壓力與間距來調節硼-碳膜的應力/密度。基於第5A圖及第5B圖結果,可在不同處理溫度下達成小於-100MPa的應力。
第6圖圖示在550℃至650℃的溫度下,硼百分比(60%、52%及20%)對氧化物蝕刻選擇性和鎢蝕刻選擇性(氧化物或鎢)的影響的條狀圖。如第6圖所示,在類似沉積條件下,蝕刻選擇性隨溫度提升,但應力亦然。又如第6圖所示,隨著硼百分比降低,鎢蝕刻選擇性將提升,但氧化物蝕刻選擇性下降。
第7A圖係根據本文所述實施例,溫度對硼-碳膜的氧化物蝕刻選擇性和應力的影響的條狀圖。第7B圖係根據本文所述實施例,氮流率對硼-碳膜的氧化物蝕刻選擇性和應力的影響的條狀圖。第7C圖係根據本文所述實施例,溫度對硼-碳膜的鎢/矽蝕刻選擇性和應力的影響的條狀圖。第7D圖係根據本文所述實施例,氮流率對硼-碳膜的鎢/矽蝕刻選擇性和應力的影響的條狀圖。以調節應力及改良W/Si蝕刻選擇性的方式,評估富含氮的硼-碳-氮化物(BCN)硬遮罩膜。使用不同流率的N2氣體並串流碳與硼前驅物,可達成氮(N2)併入。藉由把氮(N2)併入氣態沉積混合物,可顯著提高沉積速率。然氮系副產物具高揮發性,故併入最終硼-碳膜內的N%為小於5%。因此,可能無法實現蝕刻選擇性改良。基於FTIR結果發現,B-C峰朝C=C或C-N峰平移,此可加強W/Si選擇性。然低氮併入及高沉積速率會造成B-C基質(非晶形貌)崩解,以致降低氧化物與W/Si條件的蝕刻選擇性。
儘管以上係針對本發明實施例說明,但在不脫離本發明基本範圍的情況下,當可策劃本發明的其他和進一步實施例,因此本發明範圍視後附申請專利範圍所界定者為準。

Claims (20)

  1. 一種處理一基板的方法,包含以下步驟:流入一含烴氣體混合物至一處理腔室的一處理容積,該處理容積具有一基板放置於內,其中該基板經加熱達約500℃至約700℃的一基板溫度;流入一含硼氣體混合物至該處理容積;及在該處理容積內產生一RF電漿,以於該加熱基板上沉積一硼-碳膜,其中該硼-碳膜具有約200至約400GPa的一彈性模量、約-100MPa至約100MPa的一應力、以及大於2.5的一折射率(n)。
  2. 如請求項1所述之方法,進一步包含以下步驟:在該處理容積內產生該RF電漿前,穩定該處理容積的一壓力,計一預定RF開啟延遲時間。
  3. 如請求項2所述之方法,進一步包含以下步驟:該預定RF開啟延遲時間屆滿後,在該處理容積內產生該RF電漿。
  4. 如請求項2所述之方法,其中該預定RF開啟延遲時間係一固定時間延遲並定義為在流入該含硼氣體混合物至該處理容積與產生該RF電漿之間的一時間。
  5. 如請求項2所述之方法,其中該預定RF開啟延遲時間的一長度乃選擇使該含硼氣體混合物在 該處理容積中不會開始熱分解或實質熱分解。
  6. 如請求項2所述之方法,其中該預定RF開啟延遲時間為約0.1秒至約5秒之間。
  7. 如請求項1所述之方法,其中該處理容積的一壓力為12托耳至15托耳之間。
  8. 如請求項1所述之方法,其中該基板溫度為約550℃至約650℃之間。
  9. 如請求項1所述之方法,其中該硼-碳膜具有大於1.5克/立方公分的一密度(克/立方公分)。
  10. 如請求項1所述之方法,其中該含硼氣體混合物進一步包含至少20%的氫氣。
  11. 如請求項1所述之方法,其中該硼-碳膜具有至少55原子%的硼。
  12. 如請求項11所述之方法,其中該硼-碳膜含有約1原子%至約45原子%之間的下列一或更多者:氫、氧、碳和氮。
  13. 如請求項12所述之方法,其中該硼-碳膜含有少於約20原子%的氫。
  14. 如請求項1所述之方法,其中該硼-碳膜為一氫化硼-碳膜(BxCyHz),其中x為約30至約70原子百分比,y為約30至約70原子百分比,且z為約10至約25原子百分比。
  15. 一種處理一基板的方法,包含以下步驟:流入一含烴氣體混合物至一處理腔室的一處理容積,該處理容積具有一基板放置於內,其中該基板經加熱達約500℃至約700℃的一基板溫度,其中該含烴氣體混合物包含丙烯(C3H6);流入一含硼氣體混合物至該處理容積,其中該含硼氣體混合物包含二硼烷(B2H6);及在該處理容積內產生一RF電漿,以於該加熱基板上沉積一硼-碳膜,其中該硼-碳膜具有約200至約400GPa的一彈性模量、約-100MPa至約100MPa的一應力、以及大於2.5的一折射率(n)。
  16. 一種處理一基板的方法,包含以下步驟:流入一含烴氣體混合物至一處理腔室的一處理容積,該處理容積具有一基板放置於內,其中該基板經加熱達約400℃至約700℃的一基板溫度;流入一含硼氣體混合物至該處理容積;穩定該處理容積的一壓力,計一預定RF開啟延遲時間;在該處理容積內產生一RF電漿,以於該加熱基板上沉積一硼-碳膜,其中該硼-碳膜具有約200至約400GPa的一彈性模量、約-100MPa至約100MPa的一應力、以及大於2.5的一折射率(n); 形成一圖案化光阻層至該硼-碳膜上方;依對應該圖案化光阻層的一圖案,蝕刻該硼-碳膜;將該圖案蝕刻到該基板內;及沉積一材料至該硼-碳膜的該等蝕刻部分中。
  17. 如請求項16所述之方法,進一步包含以下步驟:該預定RF開啟延遲時間屆滿後,在該處理容積內產生該RF電漿。
  18. 如請求項16所述之方法,其中該預定RF開啟延遲時間係一固定時間延遲並定義為在流入該含硼氣體混合物至該處理容積與產生該RF電漿之間的時間。
  19. 如請求項16所述之方法,其中該預定RF開啟延遲時間的一長度乃選擇使該含硼氣體混合物在該處理容積中不會開始熱分解或實質熱分解。
  20. 如請求項16所述之方法,其中該預定RF開啟延遲時間為約0.1秒至5秒。
TW105126857A 2015-10-09 2016-08-23 超高模量與蝕刻選擇性的硼-碳硬遮罩膜 TWI675421B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562239645P 2015-10-09 2015-10-09
US62/239,645 2015-10-09
US15/233,351 2016-08-10
US15/233,351 US10418243B2 (en) 2015-10-09 2016-08-10 Ultra-high modulus and etch selectivity boron-carbon hardmask films

Publications (2)

Publication Number Publication Date
TW201730962A TW201730962A (zh) 2017-09-01
TWI675421B true TWI675421B (zh) 2019-10-21

Family

ID=58488337

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105126857A TWI675421B (zh) 2015-10-09 2016-08-23 超高模量與蝕刻選擇性的硼-碳硬遮罩膜
TW107144912A TWI702654B (zh) 2015-10-09 2016-08-23 超高模量與蝕刻選擇性的硼-碳硬遮罩膜

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107144912A TWI702654B (zh) 2015-10-09 2016-08-23 超高模量與蝕刻選擇性的硼-碳硬遮罩膜

Country Status (5)

Country Link
US (4) US10418243B2 (zh)
KR (3) KR20240064735A (zh)
CN (2) CN109637926B (zh)
TW (2) TWI675421B (zh)
WO (1) WO2017062100A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
KR102604084B1 (ko) * 2017-06-08 2023-11-17 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 및 다른 패터닝 응용들을 위한 고밀도 저온 탄소 막들
US10559465B2 (en) 2017-07-24 2020-02-11 Applied Materials, Inc. Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
KR102612989B1 (ko) 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US10354875B1 (en) * 2018-01-08 2019-07-16 Varian Semiconductor Equipment Associates, Inc. Techniques for improved removal of sacrificial mask
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
JP7049883B2 (ja) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
SG11202009406RA (en) * 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
CN112041967A (zh) * 2018-04-24 2020-12-04 应用材料公司 碳硬掩模的等离子体增强化学气相沉积
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020031224A1 (ja) 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR20210066936A (ko) 2018-10-26 2021-06-07 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 고밀도 탄소 막들
US11817312B2 (en) 2018-10-29 2023-11-14 Applied Materials, Inc. Delayed pulsing for plasma processing of wafers
KR20210116706A (ko) * 2019-02-14 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판을 프로세싱하는 방법
SG11202112769WA (en) 2019-05-24 2021-12-30 Applied Materials Inc Substrate processing chamber
JP2022538455A (ja) 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
KR20220157488A (ko) 2020-03-26 2022-11-29 어플라이드 머티어리얼스, 인코포레이티드 붕소 및 탄소 막들의 촉매 형성
US11322352B2 (en) * 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110133313A1 (en) * 2009-12-04 2011-06-09 Vishwanathan Rangarajan Hardmask materials
US20120080779A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6001461A (en) * 1992-08-27 1999-12-14 Kabushiki Kaisha Toshiba Electronic parts and manufacturing method thereof
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6261726B1 (en) * 1999-12-06 2001-07-17 International Business Machines Corporation Projection electron-beam lithography masks using advanced materials and membrane size
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
JP3931229B2 (ja) * 2002-09-13 2007-06-13 独立行政法人物質・材料研究機構 酸化炭素薄膜および酸化窒化炭素薄膜とこれら酸化炭素系薄膜の製造方法
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2006052370A2 (en) * 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
FR2896807B1 (fr) * 2006-01-30 2008-03-14 Eads Ccr Groupement D Interet Structure multicouche mince, piece la comprenant et son procede de depot
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20070286954A1 (en) 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
WO2008094792A1 (en) 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
CN101743631B (zh) * 2007-07-13 2012-12-26 应用材料公司 硼衍生的材料的沉积方法
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN101893789B (zh) * 2010-07-07 2012-08-29 深圳超多维光电子有限公司 配向层材料、配向层制造工艺及显示面板
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US9299581B2 (en) * 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP5699980B2 (ja) * 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US9524742B2 (en) * 2011-10-24 2016-12-20 Youtec Co., Ltd. CXNYHZ film, deposition method, magnetic recording medium and method for manufacturing the same
KR102025574B1 (ko) 2013-01-18 2019-09-26 삼성전자 주식회사 샘플 가스 공급 장치 및 방법
US9604885B2 (en) * 2013-01-25 2017-03-28 University Of Florida Research Foundation, Inc. Synthesis and processing of ultra high hardness boron carbide
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
WO2015105651A1 (en) 2014-01-08 2015-07-16 Applied Materials, Inc. Development of high etch selective hardmask material by ion implantation into amorphous carbon films
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110133313A1 (en) * 2009-12-04 2011-06-09 Vishwanathan Rangarajan Hardmask materials
US20120080779A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8993454B2 (en) * 2010-10-05 2015-03-31 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration

Also Published As

Publication number Publication date
KR102659258B1 (ko) 2024-04-18
CN109637926B (zh) 2023-05-12
KR20240064735A (ko) 2024-05-13
CN108140545A (zh) 2018-06-08
US20190122889A1 (en) 2019-04-25
US10971364B2 (en) 2021-04-06
CN108140545B (zh) 2022-08-09
KR20180137044A (ko) 2018-12-26
TWI702654B (zh) 2020-08-21
TW201929089A (zh) 2019-07-16
WO2017062100A1 (en) 2017-04-13
US20230317455A1 (en) 2023-10-05
US20170103893A1 (en) 2017-04-13
US10418243B2 (en) 2019-09-17
CN109637926A (zh) 2019-04-16
TW201730962A (zh) 2017-09-01
US11728168B2 (en) 2023-08-15
US20210225650A1 (en) 2021-07-22
KR20180053766A (ko) 2018-05-23
KR102662427B1 (ko) 2024-04-30

Similar Documents

Publication Publication Date Title
TWI675421B (zh) 超高模量與蝕刻選擇性的硼-碳硬遮罩膜
JP7534492B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
TWI716421B (zh) 在pecvd系統中用以改善非晶硼-碳硬光罩製程之膜內顆粒效能之方法
KR101161912B1 (ko) 비결정질 탄소 층의 고온 증착 방법
JP2013540359A (ja) 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
US20200266064A1 (en) Method of processing a substrate
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
TW202200836A (zh) 用於產生用於硬遮罩及其他圖案化應用的高密度、摻雜氮碳膜的方法