CN108140545A - 超高模量与蚀刻选择性的硼-碳硬掩模膜 - Google Patents

超高模量与蚀刻选择性的硼-碳硬掩模膜 Download PDF

Info

Publication number
CN108140545A
CN108140545A CN201680056619.1A CN201680056619A CN108140545A CN 108140545 A CN108140545 A CN 108140545A CN 201680056619 A CN201680056619 A CN 201680056619A CN 108140545 A CN108140545 A CN 108140545A
Authority
CN
China
Prior art keywords
boron
carbon
substrate
processing volume
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680056619.1A
Other languages
English (en)
Other versions
CN108140545B (zh
Inventor
P·K·库尔施拉希萨
段子青
K·T·纳拉辛哈
K·D·李
金柏涵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201811561845.2A priority Critical patent/CN109637926B/zh
Publication of CN108140545A publication Critical patent/CN108140545A/zh
Application granted granted Critical
Publication of CN108140545B publication Critical patent/CN108140545B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本公开的实施例大体而言涉及集成电路制造。更具体而言,本文所述实施例提供用于在基板上沉积硼‑碳膜的技术。在一实施例中,提供处理基板的方法。方法包含使含烃气体混合物流动至具有基板定位于内的处理腔室的处理容积,其中基板经加热达约400℃至约700℃的基板温度;使含硼气体混合物流动至处理容积;及在处理容积内产生RF等离子体,以于加热基板上沉积硼‑碳膜,其中硼‑碳膜具有约200GPa至约400GPa的弹性模量和约‑100MPa至约100MPa的应力。

Description

超高模量与蚀刻选择性的硼-碳硬掩模膜
背景
技术领域
本公开的实施例大体而言涉及集成电路制造。更具体而言,本文所述实施例提供用于在基板上沉积硼-碳膜的技术。
背景技术
集成电路已发展成在单一芯片上包括数百万个晶体管、电容器和电阻器的复杂装置。芯片设计发展不断要求更快速电路系统和更高电路密度。对快速电路与高电路密度的要求推动了对用于制造此类集成电路的材料的对应需求。特别地,由于集成电路部件尺寸缩减至纳米级,现须使用低电阻率的导电材料和低介电常数的绝缘材料,以从此类部件获得适当电气性能。
对较高电路密度的要求也推动了对用于制造集成电路部件的处理程序要求。例如,在采用常规光刻技术的处理程序中,能量敏感抗蚀剂层形成在置于基板上的材料层的堆叠上方。能量敏感抗蚀剂层暴露至图案的图像而形成光刻胶掩模。随后,利用蚀刻工艺,将掩模图案转移到堆叠的材料层中的一层或多层。用于蚀刻工艺的化学蚀刻剂经选择为对堆叠的材料层比对能量敏感抗蚀剂具有更大的蚀刻选择性。也就是说,化学蚀刻剂蚀刻材料堆叠的一或更多层的速率比蚀刻能量敏感抗蚀剂快得多。对堆叠的一或更多材料层的胜过抗蚀剂的蚀刻选择性可防止能量敏感抗蚀剂在完成图案转移前耗尽。
随着图案尺寸缩小,能量敏感抗蚀剂的厚度势必相应减小,以控制图案分辨率。在图案转移步骤期间,此类薄抗蚀剂层可能由于遭化学蚀刻剂侵蚀而不足以对底下材料层进行掩模。称作硬掩模的中间层由于它的高化学蚀刻剂抗性而常用于能量敏感抗蚀剂层与底下材料层之间,以协助图案转移。期望薄硬掩模兼具高蚀刻选择性,且在完成蚀刻工艺后容易移除。随着临界尺寸(CD)减小,现行硬掩模材料缺少相对底下材料的预定蚀刻选择性,且通常很难移除。
硼-碳膜具有优良的机械性质、优异的阶梯覆盖性、良好的湿蚀刻抗性,且对低介电膜具高干蚀刻选择性。所有特性皆有益诸如光刻硬掩模至低k电介质蚀刻和自对准双重图案化工艺等应用。然而,因非晶本性,非晶硼膜趋于具高膜应力,导致线弯曲而损坏集成电路。非晶碳膜的蚀刻选择性差,故需要厚硬掩模。厚硬掩模并不适用,因为透明度下降,及图案弯曲或于高深宽比时崩塌。
因此,需要具改良蚀刻选择性的透明硬掩模膜。也需用于沉积改良硬掩模层的方法。
发明内容
本公开的实施例大体而言涉及集成电路制造。更具体而言,本文所述实施例提供用于在基板上沉积硼-碳膜的技术。在一实施例中,提供处理基板的方法。方法包含:使含烃气体混合物流动至具有基板定位于内的处理腔室的处理容积,其中基板经加热达约400℃至约700℃的基板温度;使含硼气体混合物流动至处理容积;以及在处理容积内产生RF等离子体,以于加热基板上沉积硼-碳膜,其中硼-碳膜具有约200GPa(吉帕)至约400GPa的弹性模量和约-100MPa(兆帕)至约100MPa的应力。
在另一实施例中,提供处理基板的方法。方法包含:使含烃气体混合物流动至具有基板定位于内的处理腔室的处理容积,其中基板经加热达约400℃至约700℃的基板温度,其中含硼气体混合物包含二硼烷(B2H6);使含硼气体混合物流动至处理容积,其中含烃气体混合物包含丙烯(C3H6);以及在处理容积内产生RF等离子体,以于加热基板上沉积硼-碳膜,其中硼-碳膜具有约200至约400GPa的弹性模量和约-100MPa至约100MPa的应力。
在又一实施例中,提供处理基板的方法。方法包含:使含烃气体混合物流动至具有基板定位于内的处理腔室的处理容积,其中基板经加热达约400℃至约700℃的基板温度;使流入含硼气体混合物流动至处理容积;在预定RF开启延迟时间段内稳定处理容积中的压力;在处理容积内产生RF等离子体,以于加热基板上沉积硼-碳膜,其中硼-碳膜具有约200GPa至约400GPa的弹性模量和约-100MPa至约100MPa的应力;在硼-碳膜上方形成图案化光刻胶层;以与图案化光刻胶层对应的图案蚀刻硼-碳膜;将图案蚀刻到基板内;以及将材料沉积至硼-碳膜的蚀刻部分中。
附图说明
为了可以详细理解本公开的上述特征的方式,可参考实施例得到上面简要概括的实施例的更具体的描述,部分实施例在附图中示出。然而,应注意所附附图仅说明本公开的典型实施例,故不应视为限定本公开范围,因为本公开可接纳其他等效实施例。
图1图示可用于实践本文所述实施例的设备示意图;
图2图示根据本文所述实施例的用于沉积硼-碳膜的方法的一个实施例的工艺流程图;
图3图示根据本文所述实施例的并入含硼-碳膜做为硬掩模层的基板结构的截面示意图;
图4A是根据本文所述实施例的温度与硼并入对硼-碳膜沉积速率的影响的曲线图;
图4B是根据本文所述实施例的温度与硼并入对硼-碳膜光学性质的影响的曲线图;
图4C是根据本文所述实施例的温度与硼并入对硼-碳膜的膜密度的影响的曲线图;
图4D是根据本文所述实施例的温度与硼并入对硼-碳膜应力的影响的曲线图;
图5A是根据本文所述实施例的压力对硼-碳膜的应力和膜密度的影响的曲线图;
图5B是根据本文所述实施例的间距对硼-碳膜的应力和膜密度的影响的曲线图;
图6是根据本文所述实施例的硼百分比对硼-碳膜的氧化物蚀刻选择性和钨蚀刻选择性的影响的条状图;
图7A是根据本文所述实施例的温度对硼-碳膜的氧化物蚀刻选择性和应力的影响的条状图;
图7B是根据本文所述实施例的氮流率对硼-碳膜的氧化物蚀刻选择性和应力的影响的条状图;
图7C是根据本文所述实施例的温度对硼-碳膜的钨/硅蚀刻选择性和应力的影响的条状图;及
图7D是根据本文所述实施例的氮流率对硼-碳膜的钨/硅蚀刻选择性和应力的影响的条状图。
为助于理解,尽可能以相同的参考标号代表各图中共有的相似元件。应理解一个实施例的元件和特征结构当可有益地并入其他实施例,在此不另外详述。然应注意附图仅为举例说明本公开的示例性实施例,故不应视为限定本公开范围,因为本公开可接纳其他等效实施例。
具体实施方式
下文描述用于在基板上沉积具有高模量和蚀刻选择性的硼-碳膜的技术。某些细节在下文与图1至图7D中记载,以彻底了解本发明的各种实施例。以下公开内容中没有记载描述通常与硼-碳膜相关的已知结构和系统的其他细节,以免不必要地让各种实施例叙述变得晦涩难懂。
附图中的许多细节、尺寸、角度和其他特征结构仅为举例说明特定实施例。故在不背离本公开的精神和范围情况下,其他实施例可具有其他细节、部件、尺寸、角度和特征结构。此外,可在没有以下描述的细节中的若干细节的情况下实践本发明的其他实施例。
具高蚀刻选择性的硬掩模是发展~10纳米或更小尺寸装置的基石。相较于先前非晶碳硬掩模膜,当前低温含硼的碳硬掩模可达成良好的蚀刻选择性、机械强度、透明度且容易剥除。然而,低温含硼硬掩模膜的非晶本性、高并入氢和低模量(~100GPa)限制了高深宽比特征结构和小尺寸装置的制造。为实现下一代集成电路芯片组,本公开的实施例提供在更高温度(例如≥400℃)下制造高密度硼-碳硬掩模膜。相较于当前可用低温含硼硬掩模膜,本文所述高密度硼-碳硬掩模膜的实施例具有减小的膜内H2含量和增加的模量,同时维持低应力、透明度和高沉积速率。本文所述硼-碳硬掩模膜的超高蚀刻选择性允许对下一代NAND与DRAM装置中的高深宽比特征结构进行单次图案转移。本文所述硼-碳硬掩模膜由于对图案崩塌的抗性、优异的临界尺寸(CD)控制和高图案分辨率而非常适合7-10纳米装置。此外,因对底下层有极佳蚀刻选择性,本文所述硼-碳硬掩模膜可比当前膜薄(例如,比相同装置尺寸薄约30%至40%),故可缩短沉积及剥除时间。本公开的实施例提供具超高模量(≥200GPa)和高蚀刻选择性(较现行硬掩模膜有>4倍改良效果)的硼-碳膜。
膜可使用各种碳前体(例如丙烯、乙炔、乙烯、甲烷、己烷、己烷、异戊二烯、丁二烯等)、硼源(例如二硼烷(B2H6)、邻碳硼烷与三甲基硼氮炔)和含氮前体(例如吡啶、脂族胺、胺、腈、氨)沉积。依据不同膜沉积参数的系统分析,包括温度(例如400至700℃、550至650℃)、压力(例如2至20托耳、10至20托耳)、硼前体流率(例如100-6000sccm(标准立方厘米每分钟))、硼前体的H2稀释(例如2%至20%)和RF功率(例如500至2500瓦),确定了高温下的消光系数(k)和应力明显高于低温下。
高k和应力会不利地影响膜组成且不利于光刻图案转移。在很高膜应力下,残余应力将造成膜从下层剥离或产生大量颗粒缺陷。类似地,膜的吸收/消光系数强烈取决于温度,并受形貌和分子结构非晶碳结构(即SP2/SP3键)和硼并入驱策。因此,本文所述实施例提供膜沉积参数(B2H6流量、基板至电极间距、RF功率、腔室压力等),用以沉积k<0.01且应力<±100MPa的硼-碳膜。
本文所述实施例将参照PECVD工艺描述如下,PECVD工艺可利用任何适合的薄膜沉积系统施行。适合的系统示例包括系统(此可使用DxZTM处理腔室)、PRECISION系统、PRODUCERTM系统、PRODUCER GTTM与PRODUCER SETM处理腔室,以上系统可商购自位于美国加州圣克拉拉的应用材料公司(Applied Materials,Inc.)。其他能进行PECVD工艺的工具也可改造而受惠本文所述实施例。此外,任何能施行本文所述PECVD工艺的系统可有利使用。本文所述设备为举例说明,故不应推断或解释为限定本文所述实施例的范围。
用语“约”大体表示在指示值的±0.5%或至多1%内。此外,用语“约”可表示测量误差(即受限于测量方法)或群组(例如一群孔隙)的物理特性变量或平均。
图1图示根据本文所述实施例的基板处理系统132的示意图,用于进行非晶碳层沉积。基板处理系统132包括耦接至气体面板130和控制器110的处理腔室100。处理腔室100通常包括顶壁124、侧壁101和底壁122,以界定内部处理容积126。用于支撑基板190的支撑底座150定位在处理腔室100的内部处理容积126。支撑底座150由杆160支撑,且通常由铝、陶瓷和其他适合材料制成。支撑底座150可利用移位机构(未示出)在处理腔室100内沿垂直方向移动。
支撑底座150可包括嵌入式加热器元件170,加热组件适于控制支撑在支撑底座150的表面192的基板190的温度。通过将来自电源106的电流施加至嵌入式加热器元件170,可电阻式加热支撑底座150。嵌入式加热器元件170可由包覆于镍-铁-铬合金(例如)鞘管的镍-铬丝制成。电源106供应的电流由控制器110调节,以控制嵌入式加热器元件170产生的热,从而使基板190和支撑底座150在膜沉积期间维持呈实质恒定温度。供应电流可调整以选择性将支撑底座150的温度控制在约400℃至约700℃之间。
温度传感器172(例如热耦)可嵌入于支撑底座150,以常规方式监控支撑底座150的温度。测量温度由控制器110用于控制供给嵌入式加热器元件170的功率,使基板190维持呈期望温度。
真空泵102耦接至形成于处理腔室100底部的端口。真空泵102用于使处理腔室100维持在期望气压。真空泵102也可将后处理气体和工艺副产物排出处理腔室100。
基板处理系统132可进一步包括附加装备来控制腔室压力,例如设在处理腔室100与真空泵102间的阀(例如节流阀和隔离阀),用以控制腔室压力。
具多个口孔128的气体分配组件120设在处理腔室100顶部在支撑底座150上方。气体分配组件120的口孔128用于将处理气体引入处理腔室100。口孔128可具有不同尺寸、数量、分布、形状、设计和直径,以就不同工艺要求协助各种处理气体流入。气体分配组件120连接至气体面板130,以允许在工艺期间供应各种气体至内部处理容积126。等离子体由出自气体分配组件120的处理气体混合物形成,以加强处理气体的热分解,从而使材料沉积至基板190的表面191。
气体分配组件120和支撑底座150可形成内部处理容积126中的一对分隔电极。一或更多RF电源140经由匹配网络138提供偏压电位至气体分配组件120,以助于在气体分配组件120与支撑底座150间产生等离子体。或者,RF电源140和匹配网络138可耦接至气体分配组件120、支撑底座150,或耦接至气体分配组件120与支撑底座150,或耦接至设在处理腔室100外部的天线(未图标)。在一实施例中,RF电源140以约50千赫至约13.6兆赫的频率提供约100瓦至约3000瓦。在另一实施例中,RF电源140以约50千赫至约13.6兆赫的频率提供约500瓦至约1800瓦。
控制器110包含中央处理单元(CPU)112、存储器116和支持电路114,用以控制处理程序及调节气体面板130的气体流量。CPU 112可以是任一用于工业设定的通用计算机处理器类型。软件例程可存储于存储器116,例如随机存取存储器、只读存储器、软盘、硬盘或其他类型的数字存储器。支持电路114以常规方式耦接至CPU 112,且可包括高速缓冲存储器、时钟电路、输入/输出系统、电源等。经由许多信号电缆可操纵控制器110与基板处理系统132的各种部件间的双向通讯,信号电缆统称信号总线118,部分信号电缆于图1中示出。
其他沉积腔室也可受惠本公开,上列参数可根据用于形成非晶碳层的特定沉积腔室改变。例如,其他沉积腔室可具有更大或更小容积,从而需要气体流率比取自应用材料公司的沉积腔室提及气体流率大或小。在一实施例中,硼-碳膜可利用商购自位于美国加州圣克拉拉的应用材料公司的PRODUCER SETM或PRODUCER GTTM处理腔室及使用下表1所列参数沉积。
沉积好(as-deposited)的硼-碳膜的硼量/百分比可视应用而异。并入膜内的硼的原子百分比计算如下:((B/(B+C)%)。在本公开的不同实施例中,硼-碳膜可含有至少5、8、10、15、20、25、30、35、40、45、50、55、60或65原子百分比的硼。硼-碳膜可含有至多8、10、15、20、25、30、35、40、45、50、55、60、65或70原子百分比的硼。硼-碳膜可含有约5至约70原子百分比的硼。硼-碳膜可含有约30至约70原子百分比的硼。硼-碳膜可含有约50至约60原子百分比的硼。并入膜内的碳的原子百分比计算如下:((C/(B+C)%)。硼-碳膜可含有至少30、35、40、45、50、55、60、65、70、75、80、85或90原子百分比的碳。硼-碳膜可含有至多35、40、45、50、55、60、65、70、75、80、85、90或95原子百分比的碳。硼-碳膜可含有约30至约95原子百分比的碳。硼-碳膜可含有约30至约70原子百分比的碳。硼-碳膜可含有约35至约50原子百分比的碳。硼-碳膜可含有至少10、15或20原子百分比的氢。硼-碳膜可含有至多15、20或25原子百分比的氢。硼-碳膜可含有约10至约25原子百分比的氢。硼-碳膜可含有约15至约20原子百分比的氢。在某些实施例中,当氮用作前体时,硼-碳膜可含有至少2、5、8、10或15原子百分比的氮。硼-碳膜可含有至多5、8、10、15或20原子百分比的氮。硼-碳膜可含有约2至约15原子百分比的氮。硼-碳膜可含有约5至约10原子百分比的氮。
通常,以下示例性沉积工艺参数可用于形成含硼非晶碳层。工艺参数可以是约400℃至约700℃的晶片温度(例如约500℃至约700℃、约550℃至约650℃)。腔室压力可以是约1托耳(Torr)至约20托耳的腔室压力(例如约2托耳至约15托耳、约12托耳至约15托耳)。含烃气体流率可以是约200sccm至约5000sccm(例如约200sccm与约2000sccm之间、约500sccm与约700sccm之间)。稀释气体流率可个别为约0sccm至约5000sccm(约3000sccm至约5000sccm、约3500sccm至约4500sccm)。惰性气体流率可个别为约0sccm至约10000sccm(例如约200sccm至约2000sccm、约400sccm至约500sccm)。含硼气体混合物流率可以是约100sccm至约15000sccm(例如约200sccm至约6000sccm、约4000sccm至约5000sccm)。RF功率可以是约1000瓦至3000瓦。RF功率可以是约1瓦/平方英寸(W/in2)至约100W/in2(例如约3W/in2与约20W/in2之间)。基板190的顶表面191与气体分配组件120间的平板间距可以是约200密耳至约1000密耳(例如约200密耳至约600密耳、约300密耳至约400密耳)。硼-碳膜的沉积厚度可以是约至约硼-碳膜的沉积厚度可以是约至约硼-碳膜的沉积厚度可以是约至约例如约至约上述工艺参数提供约至约的典型含硼非晶碳层沉积速率,且可在取自位于美国加州圣克拉拉的应用材料公司的沉积腔室中实施于300毫米(mm)基板。
表I
沉积好的硼-碳膜可具有大于2.5的折射率(n)(633nm),例如约2.7(例如约2.5至约3.0)。沉积好的硼-碳膜可具有小于0.1的k值(k于633nm处),例如约0.01至约0.09、约0.04至约0.06、约0.06或以下。沉积好的硼-碳膜可具有约200至约400MPa的弹性模量(GPa)(例如约200至约350MPa、约210至约320MPa、约212GPa)。沉积好的硼-碳膜可具有约-200MPa至约200MPa的应力(MPa)(例如约-150MPa至约150MPa、约-100MPa至约100MPa)。沉积好的硼-碳膜可具有大于1.5克/立方厘米的密度(g/cc),例如约1.9g/cc或以上,例如2.0g/cc(例如约1.5g/cc至约2.5g/cc、约1.5g/cc至约2.0g/cc)。
图2是图示根据本文所述实施例的用于沉积硼-碳膜的方法200的一个实施例的工艺流程图。方法200始于操作210:提供基板至处理腔室的处理容积。处理腔室可以是图1所示处理腔室100。基板可以是图1所示基板190。如图3所示,基板190的表面191为实质平坦。或者,基板190可具有图案化结构,例如表面具有沟槽、孔洞或通孔形成于内。基板190也可具有期望高度结构形成于上或内的实质平坦表面。尽管基板190绘示为单一主体,但应理解基板190可含有一或更多材料用于形成半导体器件,例如金属触点、沟槽隔离区、栅极、位线或任何其他内联机特征结构。基板190可包含一或更多金属层、一或更多介电材料、半导体材料和上述组合物用于制造半导体器件。例如,基板190可视应用包括氧化物材料、氮化物材料、多晶硅材料等。在期望存储器应用的一个实施例中,基板190可包括硅基板材料、氧化物材料和氮化物材料,并且具有或不具多晶硅夹设其间。
在另一实施例中,基板190可包括多个交替的氧化物与氮化物材料(即氧化物-氮化物-氧化物(ONO))(未示出)沉积于基板190的表面191。在不同实施例中,基板190可包括多个交替的氧化物与氮化物材料、一或更多氧化物或氮化物材料、多晶硅或非晶硅材料、与非晶硅交替的氧化物、与多晶硅交替的氧化物、与掺杂硅交替的未掺杂硅、与掺杂多晶硅交替的未掺杂多晶硅、或与掺杂非晶硅交替的未掺杂非晶硅。基板190可以是进行膜处理的任何基板或材料表面。例如,基板190可以是材料,例如结晶硅、氧化硅、氮氧化硅、氮化硅、应变硅、硅锗、钨、氮化钛、掺杂或未掺杂多晶硅、掺杂或未掺杂硅晶片与图案化或非图案化晶片、绝缘层覆硅(SOI)、碳掺杂的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、低k电介质和上述组合物。
在操作220中,使含烃气体混合物流入内部处理容积126。含烃气体混合物可从气体面板130经由气体分配组件120流入内部处理容积126。气体混合物可包括至少一烃化合物。气体混合物可进一步包括惰性气体、稀释气体、含氮气体或上述组合物。烃可以是任何液体或气体,但较佳前体在室温下为蒸汽,以简化用于材料计量、控制及输送到腔室的硬件。
在一实施例中,碳源是气态烃,例如直链烃。在一实施例中,烃化合物具通式CxHy,其中x为1至20,y为1至20。在一实施例中,烃化合物是烷烃。适合烃化合物例如包括烷烃,例如甲烷(CH4)、乙烷(C2H6)、丙烯(C3H6)、丙烷(C3H8)、丁烷(C4H10)与其异构物异丁烷、戊烷(C5H12)与其异构物异戊烷和新戊烷、己烷(C6H14)与其异构物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷和2,2-二甲基丁烷、或上述组合物。附加适合烃例如包括烯烃,例如乙炔、乙烯、丙烯、丁烯与其异构物、戊烯与其异构物等、二烯(例如丁二烯、异戊二烯、戊二烯、己二烯)或上述组合物。附加适合烃例如包括卤化烯烃,例如单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯或上述组合物。附加适合烃例如包括炔烃,例如乙炔(C2H2)、丙炔(C3H4)、丁烯(C4H8)、乙烯基乙炔或上述组合物。附加适合烃例如包括芳烃,例如苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、酚、甲酚、呋喃等、α-萜品烯、异丙基甲苯、1,1,3,3-四甲基丁基苯、叔丁基醚、叔丁基乙烯、甲基丙烯酸甲酯与叔丁基糠基醚、具式C3H2和C5H4的化合物、包括单氟苯、二氟苯、四氟苯、六氟苯的卤化芳族化合物、或上述组合物。在一示例中,C3H6尤佳,此是因可形成更稳定的中间产物,而允许更大的表面移动性。
适合的稀释气体可依需求加入气体混合物,诸如氦(He)、氩(Ar)、氢(H2)、氮(N2)、氨(NH3)或上述组合物等。Ar、He和N2用于控制非晶碳层的密度和沉积速率。在一些情况下,加入N2及/或NH3可用于控制非晶碳层的氢比率,此将说明于后。或者,沉积期间可不使用稀释气体。
含氮气体可伴随含烃气体混合物供应至处理腔室100。适合的含氮化合物例如包括吡啶、脂族胺、胺、腈、氨和类似化合物。
惰性气体可伴随含烃气体混合物供应至处理腔室100,例如氩(Ar)及/或氦(He)。其他惰性气体也可用于控制非晶碳层的密度和沉积速率,诸如氮(N2)和一氧化氮(NO)。此外,各种其他处理气体可加入气体混合物,以修改非晶碳材料的性质。在一实施例中,处理气体可以是反应气体,诸如氢(H2)、氨(NH3)、氢(H2)与氮(N2)混合物或上述组合物。加入H2及/或NH3可用于控制沉积非晶碳层的氢比率(例如碳氢比)。存于非晶碳膜的氢比率提供层性质控制,诸如反射率。
在操作230中,使含硼气体混合物流入内部处理容积126。含硼气体混合物可从气体面板130经由气体分配组件120流入内部处理容积126。在一实施例中,含硼气体混合物包含含硼化合物和惰性气体。含硼化合物示例包括二硼烷(B2H6)、三甲基硼(TMB或B(CH3)3)、三乙基硼(TEB)、甲基硼、二甲基硼、乙基硼、二乙基硼、邻碳硼烷(C2B10H12)和类似化合物。
在一实施例中,总含硼气体混合物中的含硼化合物百分比为约2%至约25%(例如约10%至约20%、约15%至约20%、约2%至约15%或约4%至约12%)。示例性含硼气体混合物可包括5%B2H6/95%N2、5%B2H6/95%He、10%B2H6/90%He、5%B2H6/95%Ar、10%B2H6/90%Ar、5%B2H6/95%H2或20%B2H6/80%H2。应理解当使用不同的含硼气体混合物浓度时,可相应改变流率,以达成某些膜性质。例如,在一实施例中,5%二硼烷用作含硼气源,含硼气体混合物的流率可以是约5000sccm至约15000sccm,例如约13000sccm。在另一实施例中,10.5%二硼烷用作含硼气源,含硼气体混合物的流率可以是约4000sccm至约10000sccm,例如约6000sccm至约7000sccm。在又一实施例中,20%二硼烷用作含硼气源,含硼气体混合物的流率可以是约100sccm至约6000sccm,例如约4000sccm至约6000sccm。
图2图示一实施例,其中在操作250中开启RF等离子体前,将含烃气体混合物和含硼气体混合物引入内部处理容积126。在此实施例中,含烃气体混合物可引入内部处理容积126较久的时间,例如约5秒至约30秒,例如约15秒,此视基板尺寸而定。相信在引入含硼气体前含烃气体混合物的流动可提供内部处理容积126连续的热和压力稳定。含硼气体混合物接着流入内部处理容积126约0.5秒至约5秒,例如约1秒至约2秒(流入时间可能有所不同,只要让含硼气体混合物流动的时间长得足以在操作250中触发RF等离子体前开始抵达内部处理容积126)。操作230的工艺可同时、相继或与操作220的工艺部分重叠进行。
视情况而定,在操作240中,在预定RF开启延迟时间段内稳定处理容积的压力。预定RF开启延迟时间段是固定时间延迟并定义为在将含硼气体混合物引入至处理容积与在操作250中触发或产生RF等离子体之间的时间段。任何适合的固定时间延迟都可用来达成预定条件。RF开启延迟时间的长度通常为选择使含硼气体混合物在处理容积中不会开始热分解或实质热分解。操作240的工艺可同时、相继或与操作220和操作230的工艺部分重叠进行。
在操作250中,操作240的预定RF开启延迟时间段届满后,在内部处理容积126中产生RF等离子体,以于基板190上沉积硼-碳膜304。等离子体可利用电容或感应手段形成,且可将RF功率耦合至前驱气体混合物而激发。RF功率可以是具高频分量与低频分量的双频RF功率。RF功率通常以约50瓦(W)至约2500W的功率水平施加,且可以是所有高频RF功率,例如约13.56兆赫的频率,或可以是高频功率与低频功率的混合物,例如约300千赫的频率。含烃气体混合物和含硼气体混合物的流动可持续,直到达成期望厚度的硼-碳膜304为止。
硼-碳膜304的厚度视处理阶段而异。在一实施例中,硼-碳膜304的沉积厚度可以是约至约(例如约至约 至约至约至约)之间。视情况而定,在操作260中,对处理容积进行等离子体净化。净化气体可从净化气源流入处理腔室100。气体分配组件120和支撑底座150经通电产生净化气体等离子体。可用于处理腔室100的净化气体包括NH3、N2、N2O、H3、Ar、He和其他适合等离子体净化气体。净化处理期间,可维持处理腔室100内的热和压力。等离子体净化调节暴露层表面以供附加沉积。调节表面可造成层间平滑界面与较佳层间黏附性,及较佳微粒控制。在一些实施例中,粗糙界面期有利较佳层接合,且可进行不同或附加等离子体净化工艺。完成等离子体净化后,关闭供给气体分配组件120和支撑底座150的能量,使净化气体从净化气源流入处理腔室100,以移除所有气体污染物。在一实施例中,在净化处理期间,停止前驱气体的一或更多组分。例如,若处理气体包括二硼烷、丙烯与He的混合物,则净化气体可仅包括He,并关掉二硼烷和丙烯的流动。在其他实施例中,可使用一或更多的不同净化气体。
在操作260的工艺后,可对基板190进行附加处理。
硼-碳膜304可利用标准光刻胶图案化技术图案化。图案化光刻胶(未示出)可形成在硼-碳膜304上方。硼-碳膜304可依对应图案化光刻胶层的图案蚀刻,随后将图案蚀刻到基板190内。材料可沉积至硼-碳膜304的蚀刻部分中。硼-碳膜304可使用包含过氧化氢与硫酸的溶液移除。包含过氧化氢与硫酸的一种示例性溶液已知为Piranha溶液或Piranha蚀刻液。硼-碳膜304也可使用含氧与卤素(例如氟或氯)的蚀刻化学品移除,例如Cl2/O2、CF4/O2、Cl2/O2/CF4。硼-碳膜304可利用化学机械研磨(CMP)工艺移除。
使含烃气体混合物流动至处理容积(操作220)、使含硼气体混合物流动至处理容积(操作230)、选择性稳定处理容积的压力(操作240)及在处理容积中产生RF等离子体以沉积硼-碳膜(操作250)可反复进行,直到达成预定厚度为止。
示例:
提供以下非限定示例以进一步说明本文所述实施例。然而,示例无意包括一切且不意在限定本文所述实施例的范围。测试及评估等离子体CVD辅助沉积的各种含硼、氮与碳膜的光学性质(n/k/厚度)、机械性质(应力/模量/硬度/应变能量)、蚀刻选择性和组成/形貌行为(B、H、C含量)。依据不同膜沉积参数的系统分析,包括温度、压力、硼前体流率、硼前体的H2稀释和RF功率,确定了硼-碳膜在高温下的消光系数(k)和应力明显高于低温下。
表1列出非晶碳膜(APF)参考及利用已知技术形成硼-碳膜的硼-碳膜性质,并比较根据本文所述实施例形成高蚀刻选择性硼-碳膜的性质。并入膜内的硼的百分比计算如下:((B/(B+C)%)。
表1
图4A是根据本文所述实施例的温度(400℃、480℃、550℃、650℃)与硼并入(12%、24%、36%、48%、60%)对硼-碳膜的沉积速率的影响的曲线图。y轴代表沉积速率x轴代表最终硼-碳膜中的硼的百分比。如图4A所示,随着二硼烷的流率增加,硼-碳膜的沉积速率也增加。
图4B是根据本文所述实施例的温度(400℃、480℃、550℃、650℃)与硼并入(12%、24%、36%、48%、60%)对硼-碳膜的消光系数(k)的影响的曲线图。y轴代表消光系数(k)。x轴代表最终硼-碳膜中的硼的百分比。如图4B所示,随着二硼烷流率增加,硼-碳膜的消光系数(k)将减小。又如图4B所示,随着温度提高,硼-碳膜的消光系数(k)将增大。
图4C是根据本文所述实施例的温度(400℃、480℃、550℃、650℃)与硼并入(12%、24%、36%、48%、60%)对硼-碳膜的膜密度(g/cc)的影响的曲线图。如图4C所示,随着二硼烷的流率增加,硼-碳膜的密度大体保持恒定。又如图4C所示,随着温度提高,硼-碳膜的密度将提高。
图4D是根据本文所述实施例的温度(400℃、480℃、550℃、650℃)与硼并入(12%、24%、36%、48%、60%)对硼-碳膜的应力(MPa)的影响的曲线图。如图4D所示,随着二硼烷的流率增加,硼-碳膜的应力(MPa)将减小。又如图4D所示,随着温度提高,硼-碳膜的应力将增大。
图5A是根据本文所述实施例的压力对硼-碳膜的应力和膜密度的影响的曲线图。图5B是根据本文所述实施例的间距对硼-碳膜的应力和膜密度的影响的曲线图。图5A及图5B图示利用压力与间距来调节硼-碳膜的应力/密度。基于图5A及图5B中的发现,可在不同处理温度下达成小于-100MPa的应力。
图6图示在550℃至650℃的温度下,硼百分比(60%、52%及20%)对氧化物蚀刻选择性和钨蚀刻选择性(氧化物或钨)的影响的条状图。如图6所示,在类似沉积条件下,蚀刻选择性随温度提升,但应力也然。又如图6所示,随着硼百分比降低,钨蚀刻选择性将提升,但氧化物蚀刻选择性下降。
图7A是根据本文所述实施例的温度对硼-碳膜的氧化物蚀刻选择性和应力的影响的条状图。图7B是根据本文所述实施例的氮流率对硼-碳膜的氧化物蚀刻选择性和应力的影响的条状图。图7C是根据本文所述实施例的温度对硼-碳膜的钨/硅蚀刻选择性和应力的影响的条状图。图7D是根据本文所述实施例的氮流率对硼-碳膜的钨/硅蚀刻选择性和应力的影响的条状图。以调节应力及改良W/Si蚀刻选择性的方式,评估富含氮的硼-碳-氮化物(BCN)硬掩模膜。使用不同流率的N2气体并串流碳与硼前体,可达成氮(N2)并入。通过把氮(N2)并入气态沉积混合物,可显着提高沉积速率。然而,氮基副产物具高挥发性,故并入最终硼-碳膜内的N%为小于5%。因此,可能无法实现蚀刻选择性改良。基于FTIR结果发现,B-C峰朝C=C或C-N峰平移,此可加强W/Si选择性。然而,低氮并入及高沉积速率会造成B-C基质(非晶形貌)崩解,以致降低氧化物与W/Si条件的蚀刻选择性。
尽管以上内容针对本公开的实施例,但可在不背离本公开基本范围的情况下设计本公开的其他和进一步实施例,因此本公开范围由所附权利要求书确定。

Claims (15)

1.一种处理基板的方法,包含以下步骤:
使含烃气体混合物流动至具有基板定位于内的处理腔室的处理容积,其中所述基板经加热达约400℃至约700℃的基板温度;
使含硼气体混合物流动至所述处理容积;以及
在所述处理容积内产生RF等离子体,以于所述经加热基板上沉积硼-碳膜,其中所述硼-碳膜具有约200至约400GPa的弹性模量和约-100MPa至约100MPa的应力。
2.如权利要求1所述的方法,进一步包含以下步骤:在所述处理容积内产生所述RF等离子体前,在预定RF开启延迟时间段内稳定所述处理容积中的压力。
3.如权利要求2所述的方法,进一步包含以下步骤:在所述预定RF开启延迟时间段届满后,在所述处理容积内产生所述RF等离子体。
4.如权利要求2所述的方法,其中所述预定RF开启延迟时间段是固定时间延迟并定义为在使所述含硼气体混合物流动至所述处理容积与产生所述RF等离子体之间的时间段。
5.如权利要求2所述的方法,其中所述预定RF开启延迟时间段的长度经选择使得所述含硼气体混合物在所述处理容积中不会开始热分解或实质热分解。
6.如权利要求2所述的方法,其中所述预定RF开启延迟时间段为约0.1秒至约5秒之间。
7.如权利要求1所述的方法,其中所述处理容积中的压力为12托耳至15托耳之间。
8.如权利要求1所述的方法,其中所述基板温度为约550℃至约650℃。
9.如权利要求1所述的方法,其中所述硼-碳膜具有大于2.5的折射率(n)。
10.如权利要求1所述的方法,其中所述硼-碳膜具有大于1.5克/立方厘米的密度(g/cc)。
11.如权利要求1所述的方法,其中所述含硼气体混合物进一步包含至少20%的氢气。
12.如权利要求1所述的方法,其中所述硼-碳膜具有至少55原子%的硼。
13.如权利要求12所述的方法,其中所述硼-碳膜含有约1原子%至约45原子%之间的下列一者或更多者:氢、氧、碳和氮。
14.如权利要求13所述的方法,其中所述硼-碳膜含有少于约20原子%的氢。
15.一种处理基板的方法,包含以下步骤:
使含烃气体混合物流动至具有基板定位于内的处理腔室的处理容积,其中所述基板经加热达约400℃至约700℃的基板温度,其中所述含硼气体混合物包含二硼烷(B2H6);
使含硼气体混合物流动至所述处理容积,其中所述含烃气体混合物包含丙烯(C3H6);及
在所述处理容积内产生RF等离子体,以于所述经加热基板上沉积硼-碳膜,其中所述硼-碳膜具有约200至约400GPa的弹性模量和约-100MPa至约100MPa的应力。
CN201680056619.1A 2015-10-09 2016-08-11 超高模量与蚀刻选择性的硼-碳硬掩模膜 Active CN108140545B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811561845.2A CN109637926B (zh) 2015-10-09 2016-08-11 超高模量与蚀刻选择性的硼-碳硬掩模膜

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562239645P 2015-10-09 2015-10-09
US62/239,645 2015-10-09
US15/233,351 US10418243B2 (en) 2015-10-09 2016-08-10 Ultra-high modulus and etch selectivity boron-carbon hardmask films
US15/233,351 2016-08-10
PCT/US2016/046548 WO2017062100A1 (en) 2015-10-09 2016-08-11 Ultra-high modulus and etch selectivity boron-carbon hardmask films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201811561845.2A Division CN109637926B (zh) 2015-10-09 2016-08-11 超高模量与蚀刻选择性的硼-碳硬掩模膜

Publications (2)

Publication Number Publication Date
CN108140545A true CN108140545A (zh) 2018-06-08
CN108140545B CN108140545B (zh) 2022-08-09

Family

ID=58488337

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680056619.1A Active CN108140545B (zh) 2015-10-09 2016-08-11 超高模量与蚀刻选择性的硼-碳硬掩模膜
CN201811561845.2A Active CN109637926B (zh) 2015-10-09 2016-08-11 超高模量与蚀刻选择性的硼-碳硬掩模膜

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201811561845.2A Active CN109637926B (zh) 2015-10-09 2016-08-11 超高模量与蚀刻选择性的硼-碳硬掩模膜

Country Status (5)

Country Link
US (4) US10418243B2 (zh)
KR (3) KR20240064735A (zh)
CN (2) CN108140545B (zh)
TW (2) TWI702654B (zh)
WO (1) WO2017062100A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112673123A (zh) * 2018-07-20 2021-04-16 朗姆研究公司 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
WO2018226370A1 (en) * 2017-06-08 2018-12-13 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
CN117293018A (zh) 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
TWI633201B (zh) * 2017-10-24 2018-08-21 國立交通大學 非晶碳薄膜、其製造方法與包含其之光學系統
SG11202005150YA (en) 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
US10354875B1 (en) * 2018-01-08 2019-07-16 Varian Semiconductor Equipment Associates, Inc. Techniques for improved removal of sacrificial mask
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
JP7049883B2 (ja) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
KR20200130490A (ko) * 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
JP2021523558A (ja) 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
WO2020031224A1 (ja) 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置
WO2020086241A1 (en) 2018-10-26 2020-04-30 Applied Materials, Inc. High density carbon films for patterning applications
US11817312B2 (en) 2018-10-29 2023-11-14 Applied Materials, Inc. Delayed pulsing for plasma processing of wafers
SG11202108054WA (en) * 2019-02-14 2021-08-30 Applied Materials Inc Method of processing a substrate
WO2020242799A1 (en) 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate processing chamber
JP2022538455A (ja) * 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド プラズマカップリング材料の最適化による膜特性の変調
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
CN115605978A (zh) * 2020-03-26 2023-01-13 应用材料公司(Us) 硼和碳膜的催化形成
US11322352B2 (en) * 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
WO2021255812A1 (ja) 2020-06-16 2021-12-23 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087179A1 (en) * 2002-10-30 2004-05-06 Asm Japan K.K. Method for forming integrated dielectric layers
US20090017640A1 (en) * 2007-07-13 2009-01-15 Applied Materials, Inc. Boron derived materials deposition method
CN101548368A (zh) * 2006-12-01 2009-09-30 东京毅力科创株式会社 非晶碳膜、半导体装置、成膜方法、成膜装置和存储介质
US20120080779A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120321791A1 (en) * 2011-06-16 2012-12-20 Tokyo Electron Limited Film forming method and film forming apparatus
US20130171839A1 (en) * 2011-09-09 2013-07-04 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US20130330932A1 (en) * 2009-12-04 2013-12-12 Novellus Systems, Inc. Hardmask materials
US20160002115A1 (en) * 2013-01-25 2016-01-07 University of Central Florida Reseach Foundation, Inc. Synthesis and Processing of Ultra High Hardness Boron Carbide

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6001461A (en) * 1992-08-27 1999-12-14 Kabushiki Kaisha Toshiba Electronic parts and manufacturing method thereof
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6261726B1 (en) * 1999-12-06 2001-07-17 International Business Machines Corporation Projection electron-beam lithography masks using advanced materials and membrane size
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
JP3931229B2 (ja) * 2002-09-13 2007-06-13 独立行政法人物質・材料研究機構 酸化炭素薄膜および酸化窒化炭素薄膜とこれら酸化炭素系薄膜の製造方法
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
WO2006052370A2 (en) * 2004-11-03 2006-05-18 Applied Materials, Inc. Diamond like carbon films
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
FR2896807B1 (fr) * 2006-01-30 2008-03-14 Eads Ccr Groupement D Interet Structure multicouche mince, piece la comprenant et son procede de depot
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20070286954A1 (en) 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR20090108721A (ko) 2007-01-29 2009-10-16 어플라이드 머티어리얼스, 인코포레이티드 신규한 공기 갭 통합 방법
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8178443B2 (en) * 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
CN101893789B (zh) * 2010-07-07 2012-08-29 深圳超多维光电子有限公司 配向层材料、配向层制造工艺及显示面板
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US9299581B2 (en) * 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2013061398A1 (ja) * 2011-10-24 2013-05-02 株式会社ユーテック CxNyHz膜、成膜方法、磁気記録媒体およびその製造方法
KR102025574B1 (ko) 2013-01-18 2019-09-26 삼성전자 주식회사 샘플 가스 공급 장치 및 방법
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10391526B2 (en) 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040087179A1 (en) * 2002-10-30 2004-05-06 Asm Japan K.K. Method for forming integrated dielectric layers
CN101548368A (zh) * 2006-12-01 2009-09-30 东京毅力科创株式会社 非晶碳膜、半导体装置、成膜方法、成膜装置和存储介质
US20090017640A1 (en) * 2007-07-13 2009-01-15 Applied Materials, Inc. Boron derived materials deposition method
US20130330932A1 (en) * 2009-12-04 2013-12-12 Novellus Systems, Inc. Hardmask materials
US20120080779A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120321791A1 (en) * 2011-06-16 2012-12-20 Tokyo Electron Limited Film forming method and film forming apparatus
US20130171839A1 (en) * 2011-09-09 2013-07-04 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US20160002115A1 (en) * 2013-01-25 2016-01-07 University of Central Florida Reseach Foundation, Inc. Synthesis and Processing of Ultra High Hardness Boron Carbide

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
CN112673123A (zh) * 2018-07-20 2021-04-16 朗姆研究公司 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
CN112673123B (zh) * 2018-07-20 2023-12-26 朗姆研究公司 基于远程等离子体的硼氮化物、硼碳化物和硼碳氮化物膜的沉积
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
US11728168B2 (en) 2023-08-15
TWI702654B (zh) 2020-08-21
US10418243B2 (en) 2019-09-17
US20230317455A1 (en) 2023-10-05
TWI675421B (zh) 2019-10-21
WO2017062100A1 (en) 2017-04-13
TW201730962A (zh) 2017-09-01
CN108140545B (zh) 2022-08-09
CN109637926A (zh) 2019-04-16
KR20240064735A (ko) 2024-05-13
CN109637926B (zh) 2023-05-12
KR102659258B1 (ko) 2024-04-18
US20170103893A1 (en) 2017-04-13
US20190122889A1 (en) 2019-04-25
KR20180137044A (ko) 2018-12-26
KR102662427B1 (ko) 2024-04-30
TW201929089A (zh) 2019-07-16
US10971364B2 (en) 2021-04-06
KR20180053766A (ko) 2018-05-23
US20210225650A1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
CN108140545A (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
KR102525779B1 (ko) 황 도핑된 탄소 하드마스크들
TWI716421B (zh) 在pecvd系統中用以改善非晶硼-碳硬光罩製程之膜內顆粒效能之方法
TWI743035B (zh) 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
JP2020523786A (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
KR101161912B1 (ko) 비결정질 탄소 층의 고온 증착 방법
JP2013540359A (ja) 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
TW201026877A (en) Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2022519766A (ja) 基板を処理する方法
TW202200836A (zh) 用於產生用於硬遮罩及其他圖案化應用的高密度、摻雜氮碳膜的方法
TW202041700A (zh) 用於修飾光阻輪廓及調整臨界尺寸的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant