TW202041700A - 用於修飾光阻輪廓及調整臨界尺寸的方法 - Google Patents

用於修飾光阻輪廓及調整臨界尺寸的方法 Download PDF

Info

Publication number
TW202041700A
TW202041700A TW109105999A TW109105999A TW202041700A TW 202041700 A TW202041700 A TW 202041700A TW 109105999 A TW109105999 A TW 109105999A TW 109105999 A TW109105999 A TW 109105999A TW 202041700 A TW202041700 A TW 202041700A
Authority
TW
Taiwan
Prior art keywords
photoresist
sacrificial structure
structure layer
plasma
substrate
Prior art date
Application number
TW109105999A
Other languages
English (en)
Inventor
米納克希 古普塔
程睿
史瑞尼瓦思 古吉拉
卡希克 加納基拉曼
狄瓦卡N 凱德拉雅
黃祖濱
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202041700A publication Critical patent/TW202041700A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

提供用於處理基板的多個實施例,該等實施例包括修整光阻劑之方法,以提供具有平滑側壁表面的光阻劑輪廓並且針對圖案化特徵及/或後續沉積的介電層調整臨界尺寸。該方法能夠包括:在基板上沉積犧牲結構層,在犧牲結構層上沉積光阻劑,以及圖案化光阻劑以在犧牲結構層上產生粗略光阻劑輪廓。該方法也包括,以電漿修整光阻劑,而產生覆蓋犧牲結構層的第一部分的精修的光阻劑輪廓,同時暴露犧牲結構層的第二部分;蝕刻犧牲結構層的第二部分以形成配置在基板上的圖案化特徵;及在圖案化特徵上沉積介電層。

Description

用於修飾光阻輪廓及調整臨界尺寸的方法
本案揭示內容的實施例大致上關於用於半導體處理的方法。特定而言,本案揭示內容的實施例關於用於修整光阻劑層和沉積正形(conformal)介電膜的方法。
介電層已用於多種應用,諸如當代半導體元件的製造中的阻擋層或間隔件(spacer)。能夠使用諸如原子層沉積(ALD)或化學氣相沉積(CVD)之類的沉積製程將介電層沉積在圖案化的基板中的特徵(例如,溝槽或介層窗(via))上面。隨後,各向異性式蝕刻介電層以在特徵的任一側上形成間隔件。雖然由於ALD製程的自我限制本質之故,透過使用ALD製程沉積間隔件的方法能夠在特徵上面提供正形層,但是由於相關的反應機制所致,使用CVD製程在零圖案負載的情況下,遍及圖案化基板和空白基板(blanket substrate)上形成高度正形的介電層已具有挑戰性。
隨著特徵的規模縮放,由於193浸沒式光微影術的限制所致,期望的臨界尺寸(CD)目標可能具有挑戰性。為了達成CD目標和期望的輪廓,類似自對準雙圖案化(SADP)和自對準四重圖案化(SAQP)的多圖案化技術用於各種應用,以構立尖端的半導體元件。光阻劑(PR)圖案化能夠以多種方式完成。在傳統製程記錄(POR)的方法中,可使用微影-蝕刻-微影-蝕刻(LELE)或是微影-凍結-微影-蝕刻(LFLE)將圖案轉移到下面的硬遮罩。在其他方法中,使用自對準多圖案化製程,其中以PR圖案化硬遮罩,並且將正形間隔件沉積在光阻劑或旋轉塗佈碳(spin on carbon)上,通常稱為心軸。由於消除了微影步驟,所以自對準的多圖案化技術相較於傳統的圖案化技術更為節省成本,該微影步驟在半導體元件的製造中是昂貴的步驟。
在PR暴露和顯影期間,PR輪廓在沿著側壁上不平滑,並且一般而言非常波浪狀且粗糙。這種不平滑的輪廓轉移到隨後沉積的薄膜上,例如正形間隔件的沉積。即,正形間隔件會依循與下方的PR層相同的形狀或輪廓,且採取相似的波浪狀和粗糙表面。在間隔件回蝕且PR心軸移除後,間隔件之側壁也會非常粗糙,且可轉移的圖案會在元件中誘導許多CD差異及線寬粗糙度之問題。
因此,需要一種修整光阻劑的方法,以提供具有經修飾的平滑表面之輪廓並且調整臨界尺寸。也需要以減少的圖案負載在高深寬比(aspect ratio)的溝槽中沉積介電層。
提供了一種用於處理基板的實施例,該實施例包括修整光阻劑之方法,以提供具有平滑側壁表面的光阻劑輪廓並且針對圖案化特徵及/或後續沉積的介電層調整或調控臨界尺寸(CD)。
在一或多個實施例中,該方法包括:在基板上沉積犧牲結構層;在犧牲結構層上沉積光阻劑;以及將光阻劑圖案化,以在犧牲結構層上產生粗略的光阻劑輪廓。該方法也包括:以電漿修整光阻劑以產生覆蓋犧牲結構層的第一部分的精修的光阻劑輪廓,同時暴露犧牲結構層的第二部分;蝕刻犧牲結構層的第二部分以形成配置在基板上的圖案化特徵;及在圖案化特徵上沉積介電層。在一些實施例中,精修的光阻劑輪廓具有約10埃到約20埃的線寬粗糙度和約10埃到約20埃的線邊緣粗糙度。在其他實施例中,光阻劑的修整和介電層的沉積能夠發生在相同的處理腔室中,諸如PE-CVD腔室中。
提供了一種用於處理基板的實施例,該實施例包括修整光阻劑之方法,以提供具有平滑側壁表面的光阻劑輪廓並且針對圖案化特徵及/或後續沉積的介電層調整或調控臨界尺寸(CD)。該方法能夠包括:在基板上沉積犧牲結構層;在犧牲結構層上沉積光阻劑;以及將光阻劑圖案化,以在犧牲結構層上產生粗略的光阻劑輪廓。該方法也包括:以電漿修整光阻劑以產生覆蓋犧牲結構層的第一部分精修的光阻劑輪廓,同時暴露犧牲結構層的第二部分;蝕刻犧牲結構層的第二部分以形成配置在基板上的圖案化特徵;及在圖案化特徵上沉積介電層。一些實例中,光阻劑的修整和介電層的沉積能夠發生在相同的處理腔室中,諸如PE-CVD腔室中。
可用於實行本案揭示內容的實施例的示範性系統及/或腔室可包括任何合適的薄膜沉積系統。合適的系統實例包括CENTURA® 系統,該系統可以使用DxZTM 處理腔室、PRODUCER HARPTM 處理腔室、PRECISION 5000®系統、PRODUCERTM 系統、PRODUCER GTTM 處理腔室、PRODUCER SETM 處理腔室、PRODUCERTM CVD處理腔室、PRODUCER SACVDTM 處理腔室、及PRODUCER® XP PRECISIONTM CVD處理腔室,上述腔室/系統可購自美國加州Santa Clara的應用材料公司。其他能夠執行PE-CVD製程的工具也可受惠於本文所述的實施例。另外,能夠使用實現本文所述的PE-CVD製程的任何系統以受益。在一或多個實施例中,遠端電漿系統(RPS)能夠與任何處理腔室流體連通並且在本文描述和討論的方法期間使用。本文描述的設備敘述是說明性的,並且不應被解釋或詮釋為限制本文描述的實施例的範疇。該製程可在任何基板上進行,諸如200mm、300mm或450mm的基板或是其他適合用於半導體處理的介質。
圖1描繪了基板處理系統132的示意圖,該基板處理系統132能夠用於執行根據本文所述和所討論的實施例的方法。基板處理系統132包括耦接氣體控制盤130及控制器110的處理腔室100。處理腔室100大致上包括界定內部處理空間126的頂部124、側面101、和底壁122。用於支撐基板的支撐基座150定位在處理腔室100的內部處理空間126中。支撐基座150由心柱160支撐,且能夠由鋁、陶瓷及其他合適的材料(例如不銹鋼)製成。支撐基座150可以利用位移機構(未示出)在處理腔室100內以垂直方向移動。
支撐基座150可包括嵌入式加熱器元件170,該加熱器元件170適合控制支撐基座150的表面192上所支撐的基板190的溫度。可以藉由從電源供應器106施加電流至嵌入式加熱器元件170,以電阻式加熱支撐基座150。由電源供應器106供應的電流是藉由控制器110調節,以控制嵌入式加熱器元件170生成的熱量,從而將基板190和支撐基座150在膜沉積期間保持在基本恆定的溫度。可以調整所供應的電流,以選擇性地控制支撐基座150的溫度。
支撐基座150的溫度能夠為:約30°C、約40°C、約50°C、約80°C、約100°C、約150°C、約200°C、約250°C、約300°C、或約400°C,至約450°C、約500°C、約600°C、約700°C、約800°C、或約1,000°C。例如,該支撐基座的溫度能夠為:約30°C至約1,000°C、約50°C至約1,000°C、約50°C至約800°C、約50°C至約700°C、約50°C至約600°C、約50°C至約550°C、約50°C至約500°C、約50°C至約400°C、約100°C至約1,000°C、約100°C至約800°C、約100°C至約700°C、約100°C至約600°C、約100°C至約550°C、約100°C至約500°C、約100°C至約400°C、約200°C至約1,000°C、約200°C至約800°C、約200°C至約700°C、約200°C至約600°C、約200°C至約550°C、約200°C至約500°C、約200°C至約400°C、約400°C至約1,000°C、約400°C至約800°C、約400°C至約700°C、約400°C至約600°C、或約400°C至約500°C。
諸如熱電偶之類的溫度感測器172可以嵌入支撐基座150中,以監視支撐基座150的溫度。由控制器110使用測得的溫度以控制供應至嵌入式加熱器元件170的功率,以將基板190維持在期望溫度。
真空泵102耦接到形成在處理腔室100的底部中的通口。真空泵102用於維持處理腔室100中的期望氣體壓力。真空泵102也從處理腔室100抽空後處理氣體及處理副產物。
具有複數個孔隙(aperture)128的氣體分配組件120配置在支撐基座150上方位於處理腔室100的頂部上。孔隙128可以具有不同的尺寸、數量、分佈、形狀、設計、和直徑,以助於各種處理氣體流進處理腔室100。氣體分配組件120連接氣體控制盤130,該氣體控制盤130使各種氣體得以在製程期間供應到內部處理空間126中。由離開氣體分配組件120的處理氣體混合物形成電漿,以增強處理氣體的熱分解,造成材料沉積在基板190的表面191上。
氣體分配組件120和支撐基座150可在內部處理空間126中形成一對間隔開的電極。一或多個RF電源140可透過匹配網路138提供偏壓電位給氣體分配組件120,以助於在氣體分配組件120和支撐基座150之間生成電漿。替代地,RF電源140和匹配網路138可耦接氣體分配組件120、支撐基座150、或耦接氣體分配組件120和支撐基座150兩者,或者耦接配置在處理腔室100外的天線(未示出)。在一或多個實施例中,RF電源140能夠提供範圍從約10瓦至約3,000瓦的功率,而頻率為約50kHz到約13.6MHz。在其他實施例中,RF電源140能夠提供範圍從約500瓦至約1,800瓦的功率,而頻率是在約50kHz至約13.6MHz。
控制器110包括中央處理單元(CPU)112、記憶體116和支援電路114,用於控制製程序列並且調節來自氣體控制盤130的氣體流量。CPU 112可為任何形式的可在工業設施中使用的通用電腦處理器。軟體常式可儲存在記憶體116中,該記憶體116例如為隨機存取記憶體、唯讀記憶體、軟碟、或硬碟機,或其他形式的數位儲存器。支援電路114以習知方式耦接CPU 112,且可包括高速緩衝儲存器、時脈電路、輸入/輸出系統,電源供應器、及類似物。控制器110與基板處理系統132的各種部件之間的雙向通訊透過合稱訊號匯流排118的許多訊號電纜所處理。
圖2是根據本案揭示內容的實施例的與示範性自對準雙圖案化(SADP)製程相關的製程200之流程圖。圖3A至圖3G說明由圖2中描繪的製程200所形成的結構的剖面圖。為了說明而選擇自對準雙圖案化製程,且考慮多種變化。本案揭示內容的概念同樣可應用於其他沉積製程或圖案化方案,諸如自對準三重圖案化(SATP)製程、自對準四重圖案化(SAQP)製程、介層窗/孔洞收縮(shrink)製程、後端產線(BEOL)、或上述製程之任何組合,上述製程可視各種半導體製程(例如NAND快閃記憶體應用、DRAM應用、或CMOS應用)之需要而使用保護性間隔件或保護性犧牲層。
如圖3A所示,製程200始於在基板300上形成犧牲結構層320。犧牲結構層320具有上表面323。犧牲結構層320可以是基於矽的材料,諸如氧化矽、氮化矽、氮氧化矽、碳化矽或多晶矽。考量用於犧牲結構層320的材料選擇可取決於相對於要在該材料上形成的阻劑層的蝕刻/灰化速率而有所不同。
儘管將基板300繪示成單一主體,但是基板300可含有一或多種用於形成半導體元件的材料,諸如金屬接觸件、溝槽隔離、閘極、位元線、或任何其他互連特徵。基板300可為材料堆疊或層堆疊,包括下述之一或多者:結晶矽、氧化矽、氮氧化矽、氮化矽、應變矽、矽鍺、鎢、氮化鈦、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、鍺、砷化鎵、玻璃、藍寶石、低k介電質及上述材料之任何組合。在其中期望記憶體應用的實施例中,基板300可包括矽基板材料、氧化物材料、及氮化物材料,在上述材料之間夾有多晶矽或無多晶矽。
在方塊204,將光阻劑層330(例如光阻劑材料)沉積在犧牲結構層320的上表面323的一部分上,並且將該光阻劑層330以期望的間距圖案化,如圖3B所描繪。光阻劑層330的表面331(諸如光阻劑層330的該等區段的每一者之間的側壁)在被蝕刻之後一般而言非常粗糙、波浪狀、或若不然則是呈不平坦。
在方塊206,藉由電漿製程修整光阻劑層330,以修飾光阻劑輪廓並且調控臨界尺寸(CD)。一旦修整之後,光阻劑層330具有平滑、筆直、或若不然則是平坦的表面332,諸如光阻劑層330的該等區段的每一者的側壁,如圖3C所描繪。犧牲結構層320的上表面323在相鄰表面332之間延伸,並且延伸到基板300的外邊緣。電漿製程能夠在與本文所描述及討論的任何其他沉積製程相同的電漿增強CVD(PE-CVD)腔室中進行。
電漿是透過使用像是射頻(RF)、微波、ECR、或上述各項之組合的各種來源以連續模式生成,並且電漿程序能夠是或能夠包括電容耦合電漿(CCP)或感應耦合電漿(ICP)。電漿源能夠是連續電漿或脈衝電漿。電漿能夠以約100kHz至約2.4GHz的頻率及約10瓦至約1,000瓦的功率生成。電漿的工作週期能夠是約3%至100%。處理腔室能夠具有約10mTorr至約50Torr的內腔室壓力。一或多種處理氣體及/或載氣能夠被電漿活化,並在修整製程期間暴露至光阻劑層330。示範性處理氣體可以是或包括:氫氣(H2 )、氨氣(NH3 )、肼(N2 H4 )、一氧化二氮(N2 O)、氧氣(O2 )、一種或多種碳氫化合物(諸如甲烷(CH4 )、乙烯(C2 H4 )、乙炔(C2 H2 ))、三氟化氮(NF3 )、氯(Cl2 )、氮(N2 )、二氧化碳(CO2 )、一氧化碳(CO)、水或水蒸氣(H2 O)、上述物質之離子、上述物質之電漿、或上述物質之任何組合。示範性載氣能夠是或包括氬氣(Ar)、氦氣(He)、氖氣(Ne)、氮氣(N2 )或上述氣體之任何組合。
一或多個實例中,有蘑菇頭的不平坦(例如,波浪狀或粗糙的)PR輪廓的光阻劑(PR)層能夠被修整為具有筆直或稍微漸縮(tapered)的PR輪廓。在一些實例中,用於修整PR的電漿製程能夠在下述條件執行:內腔室壓力為約5托耳、電漿是頻率約13.56 MHz的RF CCP、電漿功率約200瓦、及電漿工作週期為約20%。其他實例中,用於修整PR的電漿製程能夠在下述條件執行:內腔室壓力約0.5托耳、電漿是頻率為2.4 GHz的RF ICP、電漿功率約500瓦、且電漿工作週期約為100%。
在電漿製程期間,調控PR輪廓並且達成期望的CD。PR修整輪廓的調整助於改善表面332上的線寬度粗糙度和線邊緣粗糙度。在一或多個實施例中,表面332上的線寬度粗糙度和線邊緣粗糙度中的各者能夠獨立地為:約10Å、約12 Å、或約15Å,到約16Å、約18Å、或約20Å。舉例而言,表面332上的線寬度粗糙度和線邊緣粗糙度中的各者能夠獨立地為:約10Å到約20Å、約10Å到約18Å、約10Å到約16Å、約10Å到約15Å、約12Å到約20Å、約12Å到約18Å、約12Å到約16Å、約12Å到約15、約15到約20Å、約15Å到約18Å、或約15Å到約16Å。此輪廓調整助於在下一代尖端半導體元件上實行間隔件上間隔件(spacer on spacer)之多重圖案化技術。
一或多個實施例中,在方塊208,透過使用光阻劑層330作為遮罩,執行一或多個合適的光微影及蝕刻製程,以在基板300上從犧牲結構層320形成圖案化特徵321。該圖案化特徵321能夠具有下述之深寬比:約1:1至約50:1,例如約2:1至約20:1、約3:1至約10:1、或約4:1至約8:1。在本案揭示內容中,術語「深寬比」是指圖案化特徵的高度尺寸對寬度尺寸的比。
基板300上的圖案化特徵321提供圖案化區域301,而不具有圖案化特徵321的開放區域提供空白區域303,如圖3D所顯示。圖案化特徵321可用於形成例如閘極堆疊,或是諸如介層窗或溝槽的互連件。圖案化特徵321有時稱為佔位件(placeholder)、心軸、或核心,並且基於所使用的光阻劑材料而具有特定線寬及/或間距。可藉由使光阻劑層330歷經修整製程,而調整圖案化特徵321的寬度。在圖案已經轉移到犧牲結構層320中之後,使用合適的光阻劑剝離製程移除任何殘留的光阻劑和硬遮罩材料(若有使用)。
其他實施例中,能夠省略方塊208處的光微影和蝕刻製程,並且能夠將一或多個介電層正形地沉積在光阻劑層330正上方和上面,而形成圖案化特徵(未示出)。這些圖案化特徵能具有約1:1至約50:1、例如約3:1至約10:1的深寬比。
在方塊210中,將介電層340正形地沉積在基板300的圖案化特徵321(圖案化區域301)和暴露上表面325(空白區域303)上,如圖3E所示。當使用將在下文討論的改良製程條件沉積介電層340時,該介電層340會達成良好的階梯覆蓋率(step coverage),且遍及基板300的表面上具有實質上為零的圖案負載。例如,有特性差異的不同表面之間的膜厚度的百分比為少於3%。一般,對於熱CVD製程而言,圖案負載高,其中,相較於具有密集堆積的圖案化特徵的區域相比,空白區域中的沉積層通常較厚。這部分是由於基板的暴露表面區域及與反應物供應有關的質量主宰(mass-dominated)反應的差異所造成。圖案化區域(例如圖案化區域301)比起上面沉積有介電層340的開放或空白區域(例如空白區域303),有更大的沉積表面。在一些情況,圖案化區域301可具有比空白區域303大一倍數(multiplicative factor)的暴露垂直表面,該倍數大於2,諸如約3、約4、約5、約8、約10、約15、約20或更高。基板的暴露表面區域的差異能引發沉積速率在圖案化區域301和空白區域303之間有差異。
在間隔件應用中,由於特徵CD由間隔件的厚度決定,因此圖案負載會導致不同位置處的CD差異。本案揭示內容的改良製程條件使介電層340得以於實質上相同的沉積/反應速率沉積遍及基板300的圖案化區域301和空白區域303。因為沉積/反應速率對於圖案化區域301和空白區域303而言是相同的,所以這些區域之間的膜厚度差異為零,或者能夠降至最小值。於是,介電層340能夠正形地形成或以其他方式沉積在圖案化區域301和空白區域303上面而呈零圖案負載。
能夠受惠於本案揭示內容的介電層340包括含矽介電材料,諸如氧化矽、氮氧化矽、或氮化矽。介電層340可為碳摻雜、氫摻雜、及/或含有其他化合物或元素(諸如n型或p型摻雜劑)以適應膜的性質。在一些實施例中,介電層340能夠是基於碳的材料,諸如非晶碳(a-C)層。
介電層340的沉積是藉由在低溫下將基板暴露於沉積前驅物以在處理腔室中執行。在一些實施例中,在沉積介電層340期間,作為介電層340之沉積製程中的化學反應副產物的調整氣體(tuning gas)與沉積前驅物同步流動(例如,共流(co-flow)模式)。在沉積製程期間,於基板處理區域中不存在電漿激發。取決於應用,沉積前驅物能夠是任何合適的前驅物中的一或多種。在其中期望有含矽介電材料的實施例中,沉積前驅物能夠包括含矽前驅物。處理腔室可以是CVD腔室或任何合適的熱處理腔室。
合適的含矽前驅物可包括矽烷、鹵化矽烷、有機矽烷、及上述前驅物之任何組合。矽烷可包括甲矽烷(SiH4 )和經驗式為Six H(2x+2) 的高級矽烷(諸如乙矽烷(Si2 H6 )、丙矽烷(Si3 H8 )和丁矽烷(Si4 H10 ),或其他更高級矽烷)、一種或多種氯矽烷(諸如聚氯矽烷)、或上述矽烷之任何組合。也可使用其他的含矽前驅物,諸如八甲基環四矽氧烷(OMCTS)、甲基二乙氧基矽烷(MDEOS)、雙(第三丁胺基)矽烷(BTBAS)、三(二甲胺基)矽烷(TrisDMAS)、四(二甲胺基)矽烷(TetraDMAS)、三(二乙胺基)矽烷(TrisDEAS)、四(二乙胺基)矽烷(TetraDEAS)、二氯矽烷、三氯矽烷、二溴矽烷、四氯化矽、四溴化矽、或前述矽烷的組合、或氧原子對矽原子的比為0至約6的有機矽化合物。合適的有機矽化合物可以是矽氧烷化合物、包括一或多個鹵素部分(moiety)(例如,氟化物、氯化物、溴化物或碘化物)的鹵化矽氧烷化合物(諸如四氯矽烷、二氯二乙氧基矽氧烷、氯三乙氧基矽氧烷、六氯二矽氧烷、及/或八氯三矽氧烷)和胺基矽烷,胺基矽烷諸如三甲矽烷胺(TSA)、六甲基二矽氮烷(HMDS)、雜氮矽三環(silatrane)、四(二甲胺基)矽烷、雙(二乙胺基)矽烷、三(二甲胺基)氯矽烷、甲基矽氮烷、或上述矽烷之任何組合。
在其中介電層340是基於碳之材料的實施例中,沉積前驅物可以是含碳前驅物,諸如烴化合物。烴化合物可以是烴化合物的部分或完全摻雜的衍生物,包括烴化合物的含氟、含氧、含羥基、及含硼的衍生物。合適的烴化合物可包括以下化合物中的一或多者,例如:烷烴,諸如甲烷(CH4 )、乙烷(C2 H6 )、丙烷(C​​3 H8 )、丁烷(C4 H10 )及其異構物異丁烷、戊烷(C5 H12 )及其異構物異戊烷和新戊烷、己烷(C6 H14 )及其異構物2-甲基戊烷、3-甲基戊烷、2,3-二甲基丁烷和2,2-二甲基丁烷、上述各項之異構物、上述各項之衍生物、或上述各項的任何組合。其他合適的烴類可包括:烯烴,例如乙烯、丙烯、丁烯及其異構物、戊烯及其異構物、及類似物;二烯,例如丁二烯、異戊二烯、戊二烯、己二烯、及類似物;及鹵化烯烴,包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、單氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯、及類似物。同樣,諸如乙炔(C2 H2 )、丙炔(C3 H4 )、丁炔(C4 H6 )、乙烯基乙炔、及上述各項之衍生物之類的炔類能夠用作碳前驅物。能夠使用其他環狀烴類,例如苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯乙炔(C8 H6 )、苯酚、甲酚、呋喃、α-萜品烯、異丙基甲苯(cymene)、1,1,3,3-四甲基丁基苯、第三丁基醚、第三丁基乙烯、甲基丙烯酸甲酯、和第三丁基糠醚(t-butylfurfurylether)、具有化學式C3 H2 和/或C5 H4 的化合物或自由基、鹵化的芳族化合物,包括單氟苯、二氟苯、四氟苯、六氟苯、及類似物。也可使用鹵化烴,例如四氯化碳(CCl4 )、二碘甲烷(CH2 I2 )、一種或多種氯氟碳化物(CFC)、溴三氯甲烷(BrCCl3 )、1,1-二氯乙烯、溴苯、或上述各項之衍生物。
如上文所討論的調整氣體是介電層340的沉積製程中的化學反應副產物。因此,取決於沉積製程和用於沉積前驅物的化學來選擇調整氣體。調整氣體可以或可不參與化學反應。在其中沉積前驅物是使用矽烷的含矽前驅物的實施例中,將基板暴露於沉積前驅物和調整氣體,並且使沉積前驅物反應而形成化學反應副產物。在這種情況下,化學反應副產物與調整氣體相同。例如,在SiH4 + 2O2 →SiO2 + 2H2 O的示範性反應中,產物是SiO2 和水(H2 O),並且副產物可包括氫氣(H2 )。在這種情況下,調整氣體可以是氫氣或包括氫氣。
在其中沉積前驅物是含矽前驅物或包括含矽前驅物的實施例中,控制含矽前驅物的流速,以在處理腔室中提供含矽前驅物的分壓。在處理腔室中的沉積前驅物的分壓是位於基板表面處或附近的經反應的前驅物濃度的指標。在其中使用300mm基板的實施例中,可以以約10sccm至約10,000sccm、諸如約20sccm至約5,000sccm、例如約50sccm至約2,000sccm的流速提供含矽前驅物。可以調整流速,使得含矽前驅物的分壓控制在從約10托耳至約100托耳的範圍內,例如約20托耳至約90托耳、約40托耳至約80托耳、或約40托耳至約60托耳。含矽前驅物的分壓可以更大(例如,100托耳或更高),只要含矽前驅物的分壓不會負面地影響氣體分子的平均自由徑長度從而影響前驅物進入圖案化特徵321之間的溝槽的擴散率即可。
類似地,控制調整氣體的流速以在處理腔室中提供調整氣體的分壓。可以以與沉積前驅物大約相同的流速提供調整氣體。可以調整流速,使得調整氣體的分壓控制在約10托耳至約100托耳的範圍內,例如約20托耳至約90托耳、約40托耳至約80托耳,或約40托耳至約60托耳。在其中含矽前驅物和調整氣體共流至處理腔室中的各種實施例中,可以以約1:2至約1:6(例如約1:4)的體積流量比(調整氣體:含矽前驅物)提供調整氣體和含矽前驅物。
一些實施例中,在沉積製程期間不使用載氣/惰性氣體。在此情況下,腔室壓力能夠實質上等於含矽前驅物的分壓,或是沉積前驅物和調整氣體的組合壓力。在任一情況中,前驅物/調整氣體的流速和增加的分壓使前驅物/氣體能夠擴散或滲透到圖案化特徵321之間的溝槽的底部。
在一些情況下,可使用載氣以搭載含矽前驅物至處理腔室。在一些情況下,可使用惰性氣體以助於將處理腔室保持在特定壓力。在一些情況下,可使用稀釋氣體以控制介電層340的密度和沉積速率。合適的載氣/惰性氣體/稀釋氣體可包括:氦氣、氬氣、氫氣(H2 )、氨氣、氮氣(N2 )、氪氣、氙氣、或上述氣體之任何組合。在一些情況下,在沉積製程期間可使用p型或n型摻雜劑氣體,例如乙硼烷(B2 H6 )、磷化氫(PH3 )、砷化氫(AsH3 )或上述氣體之任何組合。在任一情況下,前驅物(例如,沉積氣體和調整氣體)與載氣/惰性氣體/稀釋氣體或摻雜劑氣體的組合都能夠用於設定處理腔室的總壓力。
總壓力能夠為:約20托耳、約30托耳、約50托耳、約80托耳、或 約100托耳,至約150托耳、約200托耳、約250托耳、約300托耳、約400托耳、約500托耳、約600托耳、或更大。例如,該總壓力能夠在下述範圍內:約20托耳至約600托耳、約20托耳至約500托耳、約20托耳至約400托耳、約20托耳至約300托耳、約20托耳至約200托耳、約20托耳至約100托耳、約20托耳至約50托耳、約50托耳至約600托耳、約50托耳至約500托耳、約50托耳至約400托耳、約50托耳至約300托耳、約50托耳至約200托耳、約50托耳至約100托耳、約50托耳至約80托耳、約100托耳至約600托耳、約100托耳至約500托耳、約100托耳至約400托耳、約100托耳至約300托耳、約100托耳至約200托耳、或約100托耳至約150托耳。
在沉積製程期間,可將基板300維持在約550°C或更低的溫度,例如約500°C或更低的溫度,諸如約250°C至約480°C。在一或多個實例中,將基板300維持在約350°C至約450°C的溫度。基板300可與噴頭面板(在該處,前驅物及/或氣體進入處理腔室)間隔約50密耳、約80密耳、或約100密耳,至約120密耳、約150密耳、約200密耳、約250密耳、或約300密耳。當前驅物/調整氣體保持在恆定的流量時,能夠控制基板和噴頭面板之間的間距,以增加氣體速度,這進而有助於前驅物至圖案化特徵321之間的溝槽底部的擴散。在一或多個實施例中,可控制流速和間隔,使得前驅物/調整氣體以約0.1升/分(L/min)至1升/分的速率流動。在任一情況下,可以調整分壓、基板溫度、和流動參數,使得介電層340是以大約1埃/分(Å/min)至約600埃/分,例如約2埃/分至約50埃/分的速率沉積。
所沉積的介電層340可具有下述厚度:約 50 Å、約80 Å、約100 Å、或約120 Å,至約150 Å、約200 Å、約250 Å、約300 Å、約400 Å、約500 Å、或約600 Å。例如,所沉積的介電層340可具有下述厚度:約50 Å至約600 Å、約50 Å至約500 Å、約50 Å至約400 Å、約50 Å至約300 Å、約50 Å至約250 Å、約50 Å至約200 Å、約50 Å至約150 Å、約50 Å至約100 Å、約100 Å至約600 Å、約100 Å至約500 Å、約100 Å至約400 Å、約100 Å至約300 Å、約100 Å至約250 Å、約100 Å至約200 Å、約100 Å至約150 Å、約200 Å至約600 Å、約200 Å至約500 Å、約200 Å至約400 Å、約200 Å至約300 Å、或約200 Å至約250 Å。
注意,能夠修飾本文所述的製程條件,以處理不同尺寸的基板。上述製程條件可應用於其他沉積前驅物,例如含碳前驅物,或者是能夠取決於介電層340的材料而修飾。熟悉此技術者能夠修飾流速及/或其他參數以沉積期望的介電層。
一或多種沉積前驅物和調整氣體的增加的分壓使沉積製程得以在飽和體系(saturation regime)下進行,這意味沉積前驅物和調整氣體是以超過在圖案化區域301和空白區域303上發生沉積反應所需的量提供。在一或多個實施例中,以至少20%或更多、例如約50%至約300%的量提供沉積前驅物和調整氣體,這大於在圖案區域301和空白區域303處能夠消耗的沉積前驅物和調整氣體的量。換言之,圖案區域301和空白區域303暴露於過量的沉積前驅物和調整氣體。不受任何特定理論所拘束,由於沉積前驅物和調整氣體的供應遠高於圖案化區域301和空白區域303中前驅物的消耗,所以飽和體系能夠造成圖案化區域301和空白區域303之間的厚度差異減小。既然較高濃度的沉積前驅物能夠導致沉積速率的增加,並且較高濃度的調整氣體(例如,沉積製程的化學反應副產物)能夠導致給定溫度下沉積速率減少,則飽和體系會使沉積前驅物的濃度和調整氣體的濃度得以在遍及圖案化區域301和空白區域303上維持在相同層級。因此,圖案化區域301和空白區域303之間的沉積比會實質上相同,從而達成對熱CVD製程而言減少的或實質上為零的圖案負載。
在方塊212,在使用在方塊210討論的改良沉積製程已將介電層340正形地沉積在圖案化特徵321上之後,各向異性地蝕刻(垂直蝕刻)介電層340的一部分,而使介電層340(或該介電層340的至少一部分)留在圖案化特徵321的側壁上。尤其,在圖案化特徵321的上表面327上的介電層340與在基板300的暴露表面(例如,空白區域303)上的介電層340被移除,而暴露出圖案化特徵321的上表面327和基板300的上表面325。於是,剩餘的介電層340形成側壁間隔件341並且保護圖案化特徵321的側壁,如圖3F所示。由於介電層340的改良沉積製程,所以剛形成的側壁間隔件341能夠遍及基板表面上有均勻的厚度,並且為多圖案化應用提供恆定的CD。
在方塊214,透過使用電漿蝕刻製程或其他合適的溼式剝離製程移除圖案化特徵321,而留下遍及基板300之上表面325上配置的側壁間隔件341,如圖3G所示。可藉由將基板300與由基於氟的蝕刻化學物質產生的電漿接觸而執行電漿蝕刻製程,以移除圖案化的特徵321。該蝕刻化學物質是選擇性的,使得側壁間隔件341在蝕刻/剝離製程期間不會受損。一旦圖案化特徵321移除之後,側壁間隔件341可作為硬遮罩,用於蝕刻下面的層、層堆疊、或結構。
總結而言,本案揭示內容的實施例提供了用於處理基板的方法。在一或多個實施例中,提供了一種方法,該方法包括修整光阻劑以提供具有平滑側壁表面的光阻劑輪廓,並且調整或調控圖案化特徵及/或隨後沉積的介電層的臨界尺寸(CD)。該方法能夠包括:在基板上沉積犧牲結構層,在犧牲結構層上沉積光阻劑,以及圖案化光阻劑以在犧牲結構層上產生粗略的光阻劑輪廓。該方法也包括,以電漿修整光阻劑而產生覆蓋犧牲結構層的第一部分的精修的光阻劑輪廓,同時暴露犧牲結構層的第二部分,蝕刻犧牲結構層的第二部分以形成配置在基板上的圖案化特徵,以及在圖案化特徵上沉積介電層。在一些實例中,修整光阻劑及沉積介電層是發生在相同的處理腔室中,例如PE-CVD腔室。
本案揭示內容的實施例進一步關於下文段落1至16中的任何一或多者:
1.一種用於處理基板的方法,包括:在該基板上沉積犧牲結構層;在該犧牲結構層上沉積光阻劑;圖案化該光阻劑以在該犧牲結構層上產生粗略的光阻劑輪廓;以電漿修整該光阻劑以產生覆蓋該犧牲結構層的第一部分的精修的光阻劑輪廓,同時暴露該犧牲結構層的第二部分;蝕刻該犧牲結構層的第二部分,以形成配置在基板上的圖案化特徵;及在該圖案化特徵上沉積介電層。
2.一種用於處理基板的方法,包括:在該基板上沉積犧牲結構層;在該犧牲結構層上沉積光阻劑;圖案化該光阻劑以在該犧牲結構層上產生粗略的光阻劑輪廓;以電漿修整該光阻劑,以產生覆蓋該犧牲結構層的第一部分的精修的光阻劑輪廓,同時暴露該犧牲結構層的第二部分,其中該精修的光阻劑輪廓的線寬粗糙度為約10埃至約20埃且線邊緣粗糙度約為10埃到20埃;蝕刻該犧牲結構層的該第二部分以形成配置在該基板上的多個圖案化特徵;及,在該圖案化特徵上沉積介電層,其中修整該光阻劑與沉積該介電層是發生在相同的處理腔室中。
3.一種用於處理基板的方法,包括:在該基板上沉積犧牲結構層;在該犧牲結構層上沉積光阻劑;圖案化該光阻劑以在該犧牲結構層上產生粗略的光阻劑輪廓;以電漿修整該光阻劑,以產生覆蓋該犧牲結構層的第一部分的精修的光阻劑輪廓,同時暴露該犧牲結構層的第二部分,其中該精修的光阻劑輪廓的線寬粗糙度為約10埃至約20埃且線邊緣粗糙度約為10埃到20埃;蝕刻該犧牲結構層的該第二部分以形成配置在該基板上的多個圖案化特徵;從該等圖案化特徵移除該光阻劑;及在該等圖案化特徵上沉積介電層。
4.根據段落1至3中任一段落所述的方法,其中該精修的光阻劑輪廓含有多個側壁表面,該等側壁表面比起該粗略的光阻劑輪廓的多個側壁表面具有更平滑或更不呈波浪狀的表面。
5.根據段落1至4中任一段落所述的方法,其中修整該光阻劑進一步包括:針對該等圖案化特徵或後續沉積的該介電層調控臨界尺寸。
6.根據段落1至5中任一段落所述的方法,其中,該精修的光阻劑輪廓具有約10埃至約20埃的線寬粗糙度。
7.根據段落1至6中任一段落所述的方法,其中,該精修的光阻劑輪廓具有約10埃至約20埃的線邊緣粗糙度。
8.根據段落1至7中任一段落的方法,其中修整該光阻劑和沉積該介電層發生在相同的處理腔室中。
9.根據段落1至8中任一段落所述的方法,其中該處理腔室是電漿增強化學氣相沉積(PE-CVD)腔室。
10.根據段落1至9中任一段落所述的方法,其中,該電漿是電容耦合電漿(CCP)。
11.根據段落1至10中任一段落所述的方法,其中,該電漿是感應耦合電漿(ICP)。
12.根據段落1至11中任一段落所述的方法,其中,該電漿是以在約100kHz至約2.4GHz的範圍內的頻率生成。
13.根據段落1至12中任一段落所述的方法,其中,該電漿是以從約10瓦到約1,000瓦的範圍內的功率生成。
14. 根據段落1至13中任一段落所述的方法,其中在該電漿是在壓力為約10mTorr至約50Torr的範圍內的處理腔室中生成。
15.根據段落1至14中任一段落所述的方法,其中,修整該光阻劑進一步包括:將該光阻劑暴露於處理氣體,該處理氣體包括氫氣(H2 )、氨、一氧化二氮、氧氣(O2 )、碳氫化合物、三氟化氮、氯(Cl2 )、氮(N2 )、二氧化碳、一氧化碳、水、上述各項的離子、上述各項的電漿或上述各項的任何組合。
16.根據段落1至15中任一段落所述的方法,進一步包括:在將該介電層沉積在該等圖案化特徵上之前,從該等圖案化特徵移除該光阻劑。
儘管前述內容是針對本案揭示內容的實施例,但是在不脫離本案之基本範疇的情況下可以設計其他和進一步的實施例,且本案之範疇由所附的申請專利範圍所確定。本文描述的所有文件均以引用方式併入本文,包括任何優先權文件及/或測試程序,只要他們與本文並無不一致之處即可。從前文的一般描述和特定實施例可明瞭,雖然已說明和描述了本案揭示內容的形式,但是在不脫離本案揭示內容的精神和範疇的情況下,能夠進行各種修飾。因此,不希望因此限制了本案揭示內容。同樣,出於我國法律之目的,術語「包含」視為與術語「包括」同義。同樣地,每當在一組合物、一要素、或一組要素的前面加上連接詞「包含」時,應理解我們也考量這樣的組成物及要素組:在該組成物、要素、或多個要素前有連接詞「基本上由下述各項組成」,「由下述各項組成」、「選自由下述各項組成的群組」、或「是」的相同組成物及要素組,反之亦然。
已經使用一組數值上限和一組數值下限描述了某些實施例和特徵。應理解,除非另外指出,否則涵蓋了包括任何兩個值的組合的範圍,例如,任何較低的值與任何較高的值的組合、任何兩個較低的值的組合、及/或任何兩個較高的值的組合。某些下限、上限和範圍出現在下文的一或多個請求項中。
100:處理腔室 101:側面 102:真空泵 106:電源供應器 110:控制器 112:CPU 114:支援電路 116:記憶體 118:訊號匯流排 120:氣體分配組件 122:底壁 124:頂部 126:處理空間 128:孔隙 130:氣體控制盤 132:基板處理系統 138:匹配網路 140:RF電源 150:支撐基座 160:心柱 170:加熱器元件 172:溫度感測器 190:基板 191,192:表面 200:製程 202~214:方塊 300:基板 301:圖案化區域 303:空白區域 320:犧牲結構層 321:圖案化特徵 323:上表面 325:上表面 327:上表面 330:光阻劑層 331:表面 332:表面 340:介電層 341:側壁間隔件
透過參考在附圖中描繪的本案揭示內容的說明性實施例,能夠瞭解上文簡要概述且下文中更詳細討論的本案揭示內容的實施例。然而,應注意,附圖僅說明本案揭示內容的典型實施例,並且因此不應被認為是對本案揭示內容的範圍的限制,因為本案揭示內容可以允許其他等效的實施例。
圖1描繪基板處理系統的示意圖,該基板處理系統能夠用於執行根據本文所描述及討論的一或多個實施例的方法。
圖2描繪根據本文描述和討論的一或多個實施例的與示範性自對準雙圖案化(SADP)製程相關的製程的流程圖。
圖3A至圖3G說明根據本文描述和討論的一或多個實施例的、藉由圖2所描繪的製程於不同間隔形成的結構的剖面視圖。
為了助於理解,只要可能則使用了相同的元件符號表示圖中共通的相同元件。該等圖式未按比例繪製,並且為清楚起見可能經過簡化。考量一個實施例的元件和特徵可以有利地併入其他實施例中,而無需贅述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:基板
325:上表面
341:側壁間隔件

Claims (20)

  1. 一種用於處理基板的方法,包括: 在該基板上沉積一犧牲結構層; 在該犧牲結構層上沉積一光阻劑; 圖案化該光阻劑以在該犧牲結構層上產生一粗略的(crude)光阻劑輪廓; 以一電漿修整該光阻劑,以產生覆蓋該犧牲結構層的一第一部分的一精修的(refined)光阻劑輪廓,同時暴露該犧牲結構層的一第二部分; 蝕刻該犧牲結構層的該第二部分,以形成配置在基板上的多個圖案化特徵;及 在該等圖案化特徵上沉積一介電層。
  2. 如請求項1所述之方法,其中該精修的光阻劑輪廓含有多個側壁表面,該等側壁表面比起該粗略的光阻劑輪廓的多個側壁表面具有更平滑或更不呈波浪狀的表面。
  3. 如請求項1所述之方法,其中修整該光阻劑進一步包括:針對該等圖案化特徵或後續沉積的該介電層調控一臨界尺寸。
  4. 如請求項1所述之方法,其中該精修的光阻劑輪廓具有約10埃至約20埃的一線寬粗糙度及約10埃至約20埃的一線邊緣粗糙度。
  5. 如請求項1所述之方法,其中修整該光阻劑和沉積該介電層發生在相同的處理腔室中。
  6. 如請求項5所述之方法,其中該處理腔室是一電漿增強化學氣相沉積(PE-CVD)腔室。
  7. 如請求項1所述之方法,其中該電漿是一電容耦合電漿(CCP)或是一感應耦合電漿(ICP)。
  8. 如請求項1所述之方法,其中,該電漿是以在約100kHz至約2.4GHz的一範圍內的一頻率及在約10瓦至約1,000瓦的一範圍內的一功率生成。
  9. 如請求項1所述之方法,其中在該電漿是在一壓力為約10mTorr至約50Torr的一範圍內的一處理腔室中生成。
  10. 如請求項1所述之方法,其中修整該光阻劑進一步包括:將該光阻劑暴露一處理氣體,該處理氣體包括氫氣(H2 )、氨、一氧化二氮、氧氣(O2 )、碳氫化合物、三氟化氮、氯(Cl2 )、氮(N2 )、二氧化碳、一氧化碳、水、上述各項的離子、上述各項的電漿或上述各項的任何組合。
  11. 如請求項1所述之方法,進一步包括:在將該介電層沉積在該等圖案化特徵上之前,從該等圖案化特徵移除該光阻劑。
  12. 一種用於處理基板的方法,包括: 在該基板上沉積一犧牲結構層; 在該犧牲結構層上沉積一光阻劑; 圖案化該光阻劑以在該犧牲結構層上產生一粗略的光阻劑輪廓; 以一電漿修整該光阻劑,以產生覆蓋該犧牲結構層的一第一部分的一精修的光阻劑輪廓,同時暴露該犧牲結構層的一第二部分,其中該精修的光阻劑輪廓的一線寬粗糙度為約10埃至約20埃且一線邊緣粗糙度約為10埃到20埃; 蝕刻該犧牲結構層的該第二部分以形成配置在該基板上的多個圖案化特徵;及 在該圖案化特徵上沉積一介電層,其中修整該光阻劑與沉積該介電層是發生在相同的處理腔室中。
  13. 如請求項12所述之方法,其中該精修的光阻劑輪廓含有多個側壁表面,該等側壁表面比起該粗略的光阻劑輪廓的多個側壁表面具有更平滑或更不呈波浪狀的表面。
  14. 如請求項12所述之方法,其中修整該光阻劑進一步包括:針對後續沉積的該介電層調控一臨界尺寸。
  15. 如請求項12所述之方法,其中該處理腔室是一電漿增強化學氣相沉積(PE-CVD)腔室。
  16. 如請求項12所述之方法,其中該電漿是一電容耦合電漿(CCP)或是一感應耦合電漿(ICP)。
  17. 如請求項12所述之方法,其中,該電漿是以在約100kHz至約2.4GHz的一範圍內的一頻率及在約10瓦至約1,000瓦的一範圍內的一功率生成。
  18. 如請求項12所述之方法,其中在該電漿是在一壓力為約10mTorr至約50Torr的一範圍內的一處理腔室中生成。
  19. 如請求項12所述之方法,其中修整該光阻劑進一步包括:將該光阻劑暴露於一處理氣體,該處理氣體包括氫氣(H2 )、氨、一氧化二氮、氧氣(O2 )、碳氫化合物、三氟化氮、氯(Cl2 )、氮(N2 )、二氧化碳、一氧化碳、水、上述各項的離子、上述各項的電漿或上述各項的任何組合。
  20. 一種用於處理基板的方法,包括: 在該基板上沉積一犧牲結構層; 在該犧牲結構層上沉積一光阻劑; 圖案化該光阻劑以在該犧牲結構層上產生一粗略的光阻劑輪廓; 以一電漿修整該光阻劑,以產生覆蓋該犧牲結構層的一第一部分的一精修的光阻劑輪廓,同時暴露該犧牲結構層的一第二部分,其中該精修的光阻劑輪廓的一線寬粗糙度為約10埃至約20埃且一線邊緣粗糙度約為10埃到20埃; 蝕刻該犧牲結構層的該第二部分以形成配置在該基板上的多個圖案化特徵; 從該等圖案化特徵移除該光阻劑;及 在該等圖案化特徵上沉積一介電層。
TW109105999A 2019-04-08 2020-02-25 用於修飾光阻輪廓及調整臨界尺寸的方法 TW202041700A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962830759P 2019-04-08 2019-04-08
US62/830,759 2019-04-08

Publications (1)

Publication Number Publication Date
TW202041700A true TW202041700A (zh) 2020-11-16

Family

ID=72663259

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109105999A TW202041700A (zh) 2019-04-08 2020-02-25 用於修飾光阻輪廓及調整臨界尺寸的方法

Country Status (7)

Country Link
US (1) US11456173B2 (zh)
JP (1) JP2022528697A (zh)
KR (1) KR20210138119A (zh)
CN (1) CN113795908A (zh)
SG (1) SG11202110987UA (zh)
TW (1) TW202041700A (zh)
WO (1) WO2020209939A1 (zh)

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
KR100492258B1 (ko) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
KR100630677B1 (ko) 2003-07-02 2006-10-02 삼성전자주식회사 포토레지스트 패턴에의 불소를 포함하지 않는 탄소 함유폴리머 생성을 위한 플라즈마 전처리를 포함하는 식각 방법
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100674967B1 (ko) * 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US7807578B2 (en) * 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8912097B2 (en) * 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
TWI492298B (zh) * 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
EP3080327A1 (en) 2013-12-10 2016-10-19 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
WO2015171207A1 (en) 2014-05-09 2015-11-12 Applied Materials, Inc. Substrate carrier system and method for using the same
WO2015191543A1 (en) 2014-06-10 2015-12-17 Applied Materials Israel, Ltd. Scanning an object using multiple mechanical stages
US9612522B2 (en) 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
CN107615557A (zh) 2015-05-15 2018-01-19 应用材料公司 制造薄膜电池中的锂沉积工艺中使用的掩蔽装置、用于锂沉积工艺的设备、制造薄膜电池的电极的方法和薄膜电池
US10081036B2 (en) 2016-09-19 2018-09-25 Applied Materials, Inc. Methods and systems for liquid particle prequalification
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180261686A1 (en) 2017-03-13 2018-09-13 Applied Materials, Inc. Transistor sidewall formation process
KR102140569B1 (ko) 2017-03-17 2020-08-03 어플라이드 머티어리얼스, 인코포레이티드 캐리어, 진공 시스템 및 진공 시스템을 동작시키는 방법
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
US10422984B2 (en) 2017-05-12 2019-09-24 Applied Materials, Inc. Flexible mode scanning optical microscopy and inspection system
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
TWI782077B (zh) 2017-09-11 2022-11-01 美商應用材料股份有限公司 光罩清潔製程
TWI796358B (zh) 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
TWI821300B (zh) 2018-06-19 2023-11-11 美商應用材料股份有限公司 具有護罩座的沉積系統
US20200043722A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Cvd based spacer deposition with zero loading

Also Published As

Publication number Publication date
JP2022528697A (ja) 2022-06-15
KR20210138119A (ko) 2021-11-18
CN113795908A (zh) 2021-12-14
SG11202110987UA (en) 2021-10-28
US11456173B2 (en) 2022-09-27
WO2020209939A1 (en) 2020-10-15
US20200321210A1 (en) 2020-10-08

Similar Documents

Publication Publication Date Title
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
TW202016344A (zh) 具有零負載的cvd基的間隔物沉積
JP7266068B2 (ja) 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
TW201937575A (zh) 半導體製程中之間隔物限定的直接圖案化方法
JP2022529610A (ja) 多重スペーサパターニングのスキーム
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
CN114381708A (zh) 用于沉积含硅材料的沉积方法和设备
TW202041700A (zh) 用於修飾光阻輪廓及調整臨界尺寸的方法
CN117751425A (zh) 用于沉积sib膜的工艺
US20200266064A1 (en) Method of processing a substrate
US20230335402A1 (en) Methods of forming thermally stable carbon film
TW202413714A (zh) 形成熱穩定碳膜之方法
WO2023239689A1 (en) Ruthenium carbide for dram capacitor mold patterning
JP2023533711A (ja) ハードマスク及びその他のパターニング応用のための高密度ドープ炭素膜を製造するための方法