JP2022529610A - 多重スペーサパターニングのスキーム - Google Patents

多重スペーサパターニングのスキーム Download PDF

Info

Publication number
JP2022529610A
JP2022529610A JP2021560250A JP2021560250A JP2022529610A JP 2022529610 A JP2022529610 A JP 2022529610A JP 2021560250 A JP2021560250 A JP 2021560250A JP 2021560250 A JP2021560250 A JP 2021560250A JP 2022529610 A JP2022529610 A JP 2022529610A
Authority
JP
Japan
Prior art keywords
layer
mixed gas
spacer layer
power setting
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021560250A
Other languages
English (en)
Other versions
JP7357688B2 (ja
Inventor
ズー-シュン ヤン,
ルイ チェン,
カーティック ジャナキラマン,
ツーピン ホアン,
ディワカール エヌ. ケッドラヤ,
ミーナクシ グプタ,
シュリーニヴァース ガッギラ,
ユンチェン リン,
英隆 押尾
チャオ リー,
ジン リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022529610A publication Critical patent/JP2022529610A/ja
Application granted granted Critical
Publication of JP7357688B2 publication Critical patent/JP7357688B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Figure 2022529610000001
本開示は、良好なプロファイル制御及びフィーチャ転写の完全性を有する多重パターニングプロセスを利用して、ナノ構造物を形成することを提供する。一実施形態では、基板上にフィーチャを形成するための方法は、基板上にマンドレル層を形成することと、マンドレル層上にスペーサ層を共形形成することであって、スペーサ層はドープされたケイ素材料である、スペーサ層を共形形成することと、スペーサ層をパターニングすることと、を含む。別の実施形態では、基板上にフィーチャを形成するための方法は、基板上のマンドレル層上にスペーサ層を共形形成することであって、スペーサ層はドープされたケイ素材料である、スペーサ層を共形形成することと、第1混合ガスを使用して、スペーサ層の一部分を選択的に除去することと、第1混合ガスとは異なる第2混合ガスを使用して、マンドレル層を選択的に除去することと、を含む。
【選択図】図3

Description

技術分野
[0001]本開示の例は概して、膜層中にナノ構造物を形成することに関する。具体的には、本開示の実施形態は、正確な寸法制御を伴い、かつリソグラフィ関連エラーが最小である、微小寸法を有するフィーチャ(特徴部)を形成するための方法を提供する。
関連技術の説明
[0002]集積回路(IC)又はチップの製造においては、チップ設計者によって、チップの種々の層を表すパターンが作成される。製造プロセスにおいて各チップ層の設計を半導体基板上に転写するために、これらのパターンから、一連の再利用可能なマスク(又はフォトマスク)が作成される。マスクパターン生成システムでは、チップの各層の設計をそれぞれのマスク上に画像化するために、精密なレーザ又は電子ビームが使用される。かかるマスクは次いで、(写真のネガフィルムと同様に)各層の回路パターンを半導体基板上に転写するために使用される。これらの層は、プロセスのシーケンスを使用して構築され、微小トランジスタ及び電気回路(これらが完成した各チップを構成する)に転写される。ゆえに、マスクに欠陥があればそれがチップに転写されることがあり、性能に悪影響を与える可能性がある。非常に深刻な欠陥であれば、マスクを完全に役に立たなくすることもある。典型的には、1セット15~100のマスクがチップを構築するために使用され、これらは繰り返し使用されうる。
[0003]限界寸法(CD)が縮小することで、現在の光リソグラフィは、45ナノメートル(nm)の技術ノードにおける技術的限界に近づきつつある。例えば32nm及びそれ以降の技術ノードにおいては、次世代リソグラフィ(NGL)が、従来型の光リソグラフィ法を置換すると期待されている。パターニングされたマスクの画像は、高精度の光学システムを通じて、一層のフォトレジスト層でコーティングされている基板表面上に投影される。次いで、複雑な化学反応及び後続の製造ステップ(例えば現像、露光後ベーキング、及び湿式又は乾式のエッチング)の後に、パターンが基板表面上に形成される。
[0004]多重パターニング技法は、フォトリソグラフィ向けに開発された、フィーチャ密度を高めるための技法である。多重パターニング技法の利用に関する単純な一例は二重パターニングであり、二重パターニングにおいては、従来型のリソグラフィプロセスが強化されて、生成されるフィーチャの数が2倍になる。二重露光は、2つの異なるフォトマスクを使用して、同一のフォトレジスト層を別個に2回露光させるシーケンスである。この技法は通常、同一層内の別個のパターン(これらのパターンは非常に異なって見えるか、又は互換性のない密度若しくはピッチを有している)に対して使用される。
[0005]二重パターニングリソグラフィ(DPL)は、分解能を向上させるのに有効な技法である。DPLは、理論的には、ピッチ分割を通じて分解能を2倍にする。DPLは、2回の別個の露光及びエッチングのステップ(リソグラフィ-エッチング‐リソグラフィ-エッチング、すなわちLELE又はL2E2と称される)を伴う。DPLは、20nm世代の技術に特に適しており、14nm技術及びそれ以降の技術に至る縮小(scaling down)のための、有望な候補解の1つである。10nm未満へのフィーチャのピッチ縮小が求められる一部の構造物では、寸法制限に抗するために、より回数の多いパターニング技法(4重パターニング(L4E4)又は8重パターニング(L8E8)など)が必要とされることがある。しかし、半導体デバイスを形成するために使用される構造物の形状寸法限界は技術的限界に突き当たっているので、多重パターニングプロセスにおける正確な形成の必要性を満たすことは、ますます困難になってきている。パターニングプロセス中に発生する不十分なプロファイル制御により、フーチング構造、角部のR化、不十分なライン完全性、又はピッチ寸法の不正確性といった欠陥が、転写済みのフィーチャにしばしば見出されることになり、ひいては、最終的にデバイスの不具合につながる。ゆえに、多重パターニングにおいて微小限界寸法構造物を製造するための正確なプロセス制御の必要性が、ますます重要になってきている。
[0006]したがって、精密な寸法制御を伴ってナノ構造物を形成することが必要とされている。
[0007]本開示は、良好なプロファイル制御及びフィーチャ転写の完全性を有する多重パターニングプロセスを利用して、ナノ構造物を形成することを提供する。一実施形態では、基板上にフィーチャを形成するための方法は、基板上にマンドレル層を形成することと、マンドレル層上にスペーサ層を共形形成することであって、スペーサ層はドープされたケイ素材料である、スペーサ層を共形形成することと、スペーサ層をパターニングすることと、を含む。
[0008]別の実施形態では、基板上にフィーチャを形成するための方法は、基板上のマンドレル層上にスペーサ層を共形形成することであって、スペーサ層はドープされたケイ素材料である、スペーサ層を共形形成することと、第1混合ガスを使用して、スペーサ層の一部分を選択的に除去することと、第1混合ガスとは異なる第2混合ガスを使用して、マンドレル層を選択的に除去することと、を含む。
[0009]更に別の実施形態では、基板上にフィーチャを形成するための方法は、基板上のマンドレル層上にスペーサ層を共形形成することであって、スペーサ層はドープされたケイ素材料であり、マンドレル層は、最高で摂氏200度の熱プロセスのもとで耐久性を有する有機材料から製造される、スペーサ層を共形形成することと、第1混合ガスを使用して、スペーサ層の一部分を選択的に除去することと、第1混合ガスとは異なる第2混合ガスを使用して、マンドレル層を選択的に除去することと、を含む。
[0010] 上記に記載した本開示の実施形態の特徴を詳しく理解しうるように、上記で簡潔に要約した本開示のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態を例示しているにすぎず、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
[0011]本開示の一実施形態による、堆積プロセスを実施するために利用されうる処理チャンバを示す。 [0012]本開示の一実施形態による、エッチングプロセスを実施するために利用されうる処理チャンバを示す。 [0013]本開示の一実施形態による、パターニングプロセスを実施してナノ構造物を形成するための方法のフロー図を示す。 [0014]本開示の一実施形態による、図3の方法によってナノ構造物を形成するためのシーケンスの複数の断面図の一例を示す。 [0015]本開示の一実施形態による、図3の方法によってナノ構造物を形成するためのシーケンスの複数の断面図の一例を示す。 [0016]本開示の一実施形態による、図3の方法で利用される、スペーサ層を利用することによってナノ構造物を形成するためのシーケンスの一断面図の別の例を示す。 本開示の一実施形態による、図3の方法で利用される、スペーサ層を利用することによってナノ構造物を形成するためのシーケンスの一断面図の別の例を示す。 本開示の一実施形態による、図3の方法で利用される、スペーサ層を利用することによってナノ構造物を形成するためのシーケンスの一断面図の別の例を示す。 本開示の一実施形態による、図3の方法で利用される、スペーサ層を利用することによってナノ構造物を形成するためのシーケンスの一断面図の別の例を示す。 本開示の一実施形態による、図3の方法で利用される、スペーサ層を利用することによってナノ構造物を形成するためのシーケンスの一断面図の別の例を示す。 本開示の一実施形態による、図3の方法で利用される、スペーサ層を利用することによってナノ構造物を形成するためのシーケンスの一断面図の別の例を示す。
[0017]理解を容易にするために、複数の図に共通する同一の要素を指し示すのに、可能な場合には、同一の参照番号を使用した。1つの実施形態で開示されている要素は、具体的な記載がなくとも、他の実施形態で有益に利用されうると想定される。
[0018]14ナノメートル又はそれ以降のものを下回る、望ましい微小寸法を有するナノ構造物を製造するための方法が提供される。この方法は、微小寸法を有するフィーチャを、エッチングプロセスで使用されうるマスク層に転写し、更に、マスク層の下に配置された材料層にフィーチャを転写するために、多重スペーサパターニング(SAMSP)プロセスを利用する。一例では、多重パターニングプロセスにおいてスペーサ層が利用される。このスペーサ層は、ドープされたケイ素を含有する材料によって製造されうる。
[0019]図1は、区分された複数のプラズマ生成領域を有する流動性化学気相堆積チャンバ100の一実施形態の断面図である。流動性化学気相堆積チャンバ100は、流動性ケイ素含有層(例えばドープされたケイ素を含有する層)を基板上に堆積させるために利用されうる。その他の流動性ケイ素含有層は、酸化ケイ素、炭化ケイ素、窒化ケイ素、酸窒化ケイ素、又はオキシ炭化ケイ素などを含みうる。膜堆積中、プロセスガスが、ガス流入アセンブリ105を通じて、第1プラズマ領域115に流入しうる。このプロセスガスは、第1プラズマ領域115に進入する前に、遠隔プラズマシステム(RPS)101内で励起されうる。堆積チャンバ100は、リッド112及びシャワーヘッド125を含む。第1プラズマ領域115におけるプラズマ生成に適合するように、リッド112は印加AC電圧源を伴って図示されており、シャワーヘッド125は接地されている。絶縁リング120がリッド112とシャワーヘッド125との間に配置されることで、第1プラズマ領域115内に容量結合プラズマ(CCP)が形成されることが可能になる。リッド112とシャワーヘッド125との間に絶縁リング120があるように図示されており、これにより、シャワーヘッド125に対してリッド112にAC電位を印加することが可能になる。
[0020]リッド112は、ガス注入アセンブリ105内の2つの別個のガス供給チャネルを特徴とする、デュアル源リッドでありうる。第1ガス供給チャネル102が、遠隔プラズマシステム(RPS)101を通過するガスを運ぶ一方、第2ガス供給チャネル104はRPS101を迂回する。第1ガス供給チャネル102はプロセスガスに使用されてよく、第2ガス供給チャネル104はトリートメントガスに使用されうる。第1プラズマ領域115に流入するガスは、バッフル106によって分散させられうる。
[0021]流体(前駆体など)が、シャワーヘッド125を通って、堆積チャンバ100の第2プラズマ領域133に流入しうる。第1プラズマ領域115内の前駆体から導出された励起種は、シャワーヘッド125の開孔114を通って移動し、シャワーヘッド125から第2プラズマ領域133へと流入する前駆体と反応する。第2プラズマ領域133には、プラズマはほとんど又は全く存在しない。前駆体の励起誘導体が、第2プラズマ領域133内で結合して、基板上に流動性誘電体材料を形成する。誘電体材料が成長するにつれて、より直近に付加された材料が、下にある材料よりも高い移動度を有することになる。移動度は、有機含有物が蒸発によって減少するにつれて低下する。この技法を使用することで、流動性誘電体材料によって間隙が充填されうるが、堆積が完了した後に誘電体材料中の有機含有物が従来的な密度で残留することはない。堆積された膜から有機含有物を更に減少させるか又は除去するために、硬化ステップが更に使用されることもある。
[0022]第1プラズマ領域115内だけで、又は遠隔プラズマシステム(RPS)101と組み合わせて、前駆体を励起することで、いくつかの利点がもたらされる。前駆体から導出される励起種の濃度が、第1プラズマ領域115内のプラズマによって、第2プラズマ領域133内で上昇しうる。この上昇は、第1プラズマ領域115におけるプラズマの配置によってもたらされうる。遠隔プラズマシステム(RPS)101よりも第2プラズマ領域133の方が、第1プラズマ領域115の近くに配置されることで、励起種が他のガス分子、チャンバの壁、及びシャワーヘッド表面と衝突することによって励起状態を離脱する時間が短縮される。
[0023]第2プラズマ領域133内では、前駆体から導出される励起種の濃度の均一性も上昇しうる。このことは、第2プラズマ領域133の形状に類似している第1プラズマ領域115の形状によりもたらされる。遠隔プラズマシステム(RPS)101内で形成された励起種は、シャワーヘッド125のエッジ付近の開孔114を通過するためには、シャワーヘッド125の中央近辺の開孔114を通過する種よりも長い距離を移動する。この距離が長くなることで、励起種の励起状態の低下がもたらされ、例えば、基板のエッジ付近では成長速度が低下することになりうる。第1プラズマ領域115で前駆体を励起することで、このばらつきが軽減される。
[0024]前駆体に加えて、様々な目的のために異なる時点で導入されるその他のガスもありうる。例えば、チャンバ壁、基板、堆積済みの膜及び/又は堆積中の膜から不要な種を除去するために、トリートメントガスが導入されうる。トリートメントガスは、H、H/N混合物、NH、NHOH、O、O、H、及び水蒸気、を含む群から選択されたガスのうちの少なくとも一又は複数を含みうる。トリートメントガスは、プラズマ中で励起されてよく、次いで、堆積済みの膜から残留有機含有物を低減させ、又は除去するために使用されうる。他の例では、トリートメントガスは、プラズマを伴わずに使用されることもある。トリートメントガスが水蒸気を含む場合、質量流量計(MFM)及び注入バルブを使用して、又はその他の好適な水蒸気発生器を利用することによって、供給が実現されうる。
[0025]この実施形態では、第2プラズマ領域133にケイ素含有前駆体を導入し、処理前駆体と反応させることによって、ドープされたケイ素を含有する層が堆積されうる。誘電性材料前駆体の例は、シラン、ジシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、テトラエトキシシラン(TEOS)、トリエトキシシラン(TES)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチル-ジシロキサン(TMDSO)、テトラメチルシクロテトラシロキサン(TMCTS)、テトラメチル-ジエトキシル-ジシロキサン(TMDDSO)、ジメチル-ジメトキシル-シラン(DMDMS)、又はこれらの組み合わせ、を含む、ケイ素含有前駆体である。窒化ケイ素を堆積させるための追加の前駆体は、Si-含有前駆体(例えば、トリシリルアミン(TSA)及びジシリルアミン(DSA)を含むシリル-アミン及びその誘導体)、Sizz-含有前駆体、SiClzz-含有前駆体、又はこれらの組み合わせ、を含む。
[0026] 処理前駆体は、ホウ素含有化合物、水素含有化合物、酸素含有化合物、窒素含有化合物、又はこれらの組み合わせ、を含む。ホウ素含有化合物の好適な例は、BH、B、BF3、BClなどを含む。好適な処理前駆体の例は、H2、/N混合物、NH3、NHOH、O、O、H、N、N蒸気を含むN化合物、NO、NO、NO2、水蒸気、又はこれらの組み合わせ、を含む群から選択された化合物のうちの一又は複数を含む。処理前駆体は、例えばRPSユニット内で励起されたプラズマであってよく、N及び/若しくはH及び/若しくはOを含有するラジカル又はプラズマ(例えばNH、NH 、NH、N、H、O、N、又はこれらの組み合わせ)を含む。これらの処理前駆体は、代替的に、本書に記載の前駆体のうちの一又は複数を含むこともある。
[0027]処理前駆体は、第1プラズマ領域115内でプラズマ励起されて、プロセスガスプラズマ及びラジカル(B、N及び/若しくはH及び/若しくはOを含有するラジカル若しくはプラズマ、又はこれらの組み合わせを含む)を発生させうる。あるいは、処理前駆体は、遠隔プラズマシステムを通過した後、第1プラズマ領域115へと導入される前に、既にプラズマ状態になっていることもある。
[0028]励起された処理前駆体190は次いで、前駆体との反応のために、開孔114を通じて第2プラズマ領域133に供給される。処理前駆体は、処理空間に入ると、混合され、反応して、基板上に誘電体材料を堆積させうる。
[0029]一実施形態では、堆積チャンバ100内で実施される流動性CVDプロセスは、ドープされたケイ素を含有するガス(ホウ素(B)がドープされたケイ素層(Si-B)、又は必要に応じて、その他の好適なホウ素-ケイ素含有材料など)を堆積させうる。
[0030]図2は、エッチングプロセス(例えば、異方性エッチングと等方性エッチングの両方)を使用して、基板上のハードマスク層と共にスペーサ層(ドープされたケイ素を含有する材料など)をエッチングするためのパターニングプロセスを実施するのに適した、処理チャンバ200の一例の断面図である。本書で開示している教示を伴って使用されるのに適合しうる好適な処理チャンバは、例えば、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能なENABLER(登録商標)処理チャンバ又はC3(登録商標)処理チャンバを含む。図示している処理チャンバ200は、優れたエッチングパフォーマンスを可能にする複数の特徴を含んでいるが、他の処理チャンバも、本書で開示している進歩的な特徴のうちの一又は複数から恩恵を受けるよう適合しうると想定される。
[0031]処理チャンバ200は、内部空間206を取り囲む、チャンバ本体202とリッド204とを含む。チャンバ本体202は、典型的には、アルミニウム、ステンレス鋼、又はその他の好適な材料から製造される。チャンバ本体202は、一般に、側壁208及び底部210を含む。基板支持ペデスタルアクセスポート(図示せず)は、一般には、側壁208に画定され、基板203の処理チャンバ200への出入りを容易にするよう、スリットバルブによって選択的に封止される。排気ポート226がチャンバ本体202に画定され、内部空間206とポンプシステム228とを連結する。ポンプシステム228は、一般には、処理チャンバ200の内部空間206を排気し、内部空間206の圧力を調節するために利用される、一又は複数のポンプ及びスロットルバルブを含む。一実施形態では、ポンプシステム228は、内部空間206内部の圧力を、典型的には約10mTorr~約500Torrの動作圧力に維持する。
[0032]リッド204は、チャンバ本体202の側壁208の上に、密閉式に支持される。リッド204は、処理チャンバ200の内部空間206へのアクセスを可能にするよう、開放されうる。リッド204は、光学プロセスのモニタリングを容易にするウインドウ242を含む。一実施形態では、ウインドウ242は、処理チャンバ200の外部に装着された光学モニタリングシステム240によって利用される信号を透過させる、石英又はその他の好適な材料で構成される。
[0033]光学モニタリングシステム240は、ウインドウ242を通じて、チャンバ本体202の内部空間206及び/又は基板支持ペデスタルアセンブリ248上に配置された基板203の少なくとも一方を視認するよう、位置付けられる。一実施形態では、光学モニタリングシステム240は、リッド204に連結されており、光学計測法を使用する一体的な堆積プロセスを容易にして、進入基板のパターンフィーチャの不整合(例えば厚さなど)を補償するためのプロセス調整を可能にする情報を提供し、必要に応じて、プロセス状態モニタリング(例えばプラズマモニタリングや温度モニタリングなど)を提供する。本発明から恩恵を受けるよう適合しうる光学モニタリングシステムの1つは、カリフォルニア州Santa ClaraのApplied Materials,Inc.から入手可能な、EyeD(登録商標)フルスペクトル干渉計計測モジュールである。
[0034]処理ガス及び/又は洗浄ガスを内部空間206に提供するために、ガスパネル258が処理チャンバ200に連結される。図2に示している例では、ガスパネル258から処理チャンバ200の内部空間206へのガスの供給を可能にするために、リッド204に注入ポート232’、232’’が設けられている。一実行形態では、ガスパネル258は、注入ポート232’、232’’を通じて処理チャンバ200の内部空間206内に、フッ素化(fluorinated)プロセスガスを提供するよう適合している。一実行形態では、ガスパネル258から提供されるプロセスガスは、少なくとも、フッ素化ガス、塩素、及び炭素含有ガス、酸素ガス、窒素含有ガス、及び塩素含有ガスを含む。フッ素化ガス及び炭素含有ガスの例は、CHF、CH、及びCFを含む。その他のフッ素化ガスは、CF、C、C、及びCのうちの一又は複数を含みうる。酸素含有ガスの例は、O、CO、CO、NO、NO、O、HOなどを含む。窒素含有ガスの例は、N、NH、NO、NOなどを含む。塩素含有ガスの例は、HCl、Cl、CCl、CHCl、CHCl、CHClなどを含む。炭素含有ガスの好適な例は、メタン(CH)、エタン(C)、エチレン(C)などを含む。
[0035]シャワーヘッドアセンブリ230が、リッド204の内側表面214に連結される。シャワーヘッドアセンブリ230は複数の開孔を含み、これらの開孔は、ガスが、注入ポート232’、232’’からシャワーヘッドアセンブリ230を通って処理チャンバ200の内部空間206へと流入して、処理チャンバ200内で処理されている基板203の表面の両端間で既定の分布状態になることを、可能にする。
[0036]遠隔プラズマ源277が、オプションでガスパネル258に連結されて、混合ガスが、処理のために内部空間206へと進入する前に、遠隔プラズマ源から分離すること(dissociating)を容易にしうる。RFソース電力243が、整合ネットワーク241を通じてシャワーヘッドアセンブリ230に連結される。RFソース電力243は、典型的には、約50kHz~約200MHzの範囲内でチューニング可能な周波数で、最大約3000Wを生成することが可能である。
[0037]シャワーヘッドアセンブリ230は更に、光学計測信号を透過させる領域を含む。この光学的透過領域又は通路238は、光学モニタリングシステム240が、内部空間206及び/又は基板支持ペデスタルアセンブリ248上に配置された基板203を視認することを可能にするのに適している。通路238は、光学モニタリングシステム240によって生成され、反射して光学モニタリングシステム240に戻る、エネルギーの波長を実質的に透過させる、シャワーヘッドアセンブリ230内に配置された材料でありうるか、又はシャワーヘッドアセンブリ230に形成された一又は複数の開孔でありうる。一実施形態では、通路238は、通路238を通じたガス漏洩を防止するために、ウインドウ242を含む。ウインドウ242は、サファイアプレート、石英プレート、又はその他の好適な材料でありうる。あるいは、ウインドウ242は、リッド204内に配置されることもある。
[0038]一実行形態では、シャワーヘッドアセンブリ230は、処理チャンバ200の内部空間206へと流入するガスの個別制御を可能にする、複数のゾーンを有して構成される。図2に示している例では、シャワーヘッドアセンブリ230は内側ゾーン234と外側ゾーン236とを有し、これらのゾーンは、別個の注入ポート232’、232’’を通じて、ガスパネル258に個別に連結されている。
[0039]基板支持ペデスタルアセンブリ248が、処理チャンバ200の内部空間206内の、ガス分配(シャワーヘッド)アセンブリ230の下方に配置される。基板支持ペデスタルアセンブリ248は、処理中に基板203を保持する。基板支持ペデスタルアセンブリ248は、一般には、基板支持ペデスタルアセンブリ248を通って配置された複数のリフトピン(図示せず)を含み、これらのリフトピンは、基板203を基板支持ペデスタルアセンブリ248から上昇させ、従来型の様態でのロボット(図示せず)を用いた基板203の交換を容易にするよう、構成される。内側ライナ218が、基板支持ペデスタルアセンブリ248の周縁の近くを取り囲みうる。
[0040]一実行形態では、基板支持ペデスタルアセンブリ248は、装着プレート262と、ベース264と、静電チャック266とを含む。装着プレート262は、チャンバ本体202の底部210に連結されており、ユーティリティ(例えば流体、電力ライン、センサリードなどであるが、これらに限らない)をベース264及び静電チャック166にルーティングするための通路を含む。静電チャック266は、シャワーヘッドアセンブリ230の下方に基板203を保持するための、少なくとも1つのクランプ電極280を備える。従来的に既知であるように、静電チャック266は、チャック電源282によって駆動されて、基板203をチャック面に保持する静電力を発生させる。あるいは、基板203は、クランプ、真空、又は重力によって、基板支持ペデスタルアセンブリ248に保持されることもある。
[0041]ベース264又は静電チャック266の少なくとも一方は、基板支持ペデスタルアセンブリ248の横方向温度プロファイルを制御するために、少なくとも1つのオプションの埋め込み型ヒータ276、少なくとも1つのオプションの埋め込み型アイソレータ274、及び複数の導管268、270を含みうる。導管268、270は流体源272に流体連結され、流体源272は、導管を通して温度調節流体を循環させる。ヒータ276は電源278によって調整される。導管268、270及びヒータ276はベース264の温度を制御するために利用され、これにより、静電チャック266が、及び最終的にはその上に配置された基板203の温度プロファイルが、加熱及び/又は冷却される。静電チャック266及びベース264の温度は、複数の温度センサ290、292を使用してモニタされうる。静電チャック266は、複数のガス通路(溝などであるが図示していない)を更に備えてよく、これらのガス通路は、静電チャック266の基板支持ペデスタル支持面に形成され、Heなどの熱伝達ガス(又は背面ガス)のソースに流体連結される。稼働中、静電チャック266と基板203との間の熱伝達を強化するために、背面ガスが、制御された圧力でガス通路内に提供される。
[0042]一実行形態では、基板支持ペデスタルアセンブリ248は、カソードとして構成され、かつ、複数のRFバイアス電源284、286に連結されている電極280を含む。RFバイアス電源284、286は、基板支持ペデスタルアセンブリ248内に配置された電極280と別の電極(例えばシャワーヘッドアセンブリ230又はチャンバ本体202の天井(リッド204))との間に連結される。RFバイアス電力は、チャンバ本体202の処理領域内にあるガスから形成されるプラズマ放電を励起し、維持する。
[0043]図2に示している例では、デュアルRFバイアス電源284、286が、整合回路288を通じて、基板支持ペデスタルアセンブリ248内に配置された電極280に連結されている。RFバイアス電源284、286によって生成された信号は、プラズマ処理チャンバ200内に提供された混合ガスをイオン化するために、整合回路288を通じて、単一フィードで基板支持ペデスタルアセンブリ248に供給され、これにより、堆積又はその他のプラズマプロセスを実施するのに必要なイオンエネルギーが提供される。RFバイアス電源284、286は一般には、約50kHz~約200MHzの周波数、及び約0ワットと約5000ワットとの間の電力を有する、RF信号を生成することが可能である。プラズマの特性を制御するために、追加のバイアス電源289が電極280に連結されることもある。
[0044]ある動作モードにおいて、基板203は、プラズマ処理チャンバ200内の基板支持ペデスタルアセンブリ248上に配置される。プロセスガス及び/又は混合ガスが、ガスパネル258からシャワーヘッドアセンブリ230を通って、チャンバ本体202へと導入される。真空ポンプシステム228が、チャンバ本体202内部の圧力を維持しつつ、堆積副生成物を除去する。
[0045] 処理チャンバ200の動作を制御するために、コントローラ250が処理チャンバ200に連結される。コントローラ250は、プロセスシーケンスを制御し、ガスパネル258からのガス流を調節するために利用される、中央処理装置(CPU)252と、メモリ254と、サポート回路256とを含む。CPU252は、工業環境で使用されうる任意の形態の汎用コンピュータプロセッサでありうる。ランダムアクセスメモリ、読出専用メモリ、フロッピー若しくはハードディスクドライブ、又はその他の形態のデジタル記憶装置といったメモリ254に、ソフトウェアルーチンが記憶されうる。サポート回路256は、従来的にはCPU252に連結されており、キャッシュ、クロック回路、入出力システム、電源などを含みうる。コントローラ250と処理チャンバ200の様々な構成要素との間の双方向通信は、多数の信号ケーブルを経由して処理される。
[0046]図3は、基板上にナノ構造物を製造するための方法300の一例のフロー図であり、このナノ構造物は、後に、基板上に配置された材料層にフィーチャを更に転写するためのエッチングマスク層として機能するよう利用されうる。図4A~図4Iは、膜積層体400の一部分の複数の断面図であり、方法300の様々な段階に対応するマスク層を有している。方法300は、マスク層内にナノ構造物を形成するために利用されうる。このマスク層は、材料層(例えばコンタクト誘電体層、ゲート電極層、ゲート誘電体層、STI絶縁層、金属間層(IML)、又は任意の好適な層)にフィーチャを形成するために利用されうる。あるいは、方法300は、必要に応じて他の任意の種類の構造物をエッチングするために、有益に利用されることもある。
[0047]方法300は、工程302において、ハードマスク層408上にパターニングされたフォトレジスト層414が配置されている膜積層体400を提供することによって始まる。ハードマスク層408は、図4Aに示しているように、基板402上に配置されたマンドレル層406及び底部層404の更に上に配置されている。パターニングされたフォトレジスト層414の間には開口412が画定されており、ハードマスク層408の部分416が、エッチングのために露出している。マンドレル層406及びハードマスク層408は、後に、底部層404の下又は上の材料層(図示せず)にフィーチャを転写するためのエッチングマスクとして機能しうる。材料層(図示せず)がマンドレル層406及びハードマスク層408の下に形成されることで、マンドレル層406及びハードマスク層408に形成されるフィーチャが、材料層に転写されうる。一実施形態では、材料層は、コンタクト層、デュアルダマシン構造物、又は任意の好適な材料を形成するために利用される、誘電体層でありうる。誘電体層の好適な例は、炭素含有酸化ケイ素(SiOC)、ポリマー材料(ポリアミドなど)、SOG、USG、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素などを含む。
[0048]一例では、ハードマスク層408は、ポリシリコン、ナノ結晶シリコン、アモルファスシリコン、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、アモルファスカーボン、窒化チタン、酸化チタン、酸窒化チタン、窒化タンタル、酸化タンタル、酸窒化タンタル、又は他の任意の好適な材料、からなる群から選択された、第1の種類の誘電体層でありうる。ある特定の例では、ハードマスク層408の第1の対を形成するために選択される第1の種類の誘電体層は、ケイ素含有層(例えばSiON、SiOCなど)である。
[0049]マンドレル層406は、ハードマスク層408とは異なる任意の好適な誘電体材料でありうる。一例では、マンドレル層406は、炭素含有材料(例えばアモルファスカーボン、スピンオンカーボン、又は他の好適な炭素含有材料)でありうる。ある特定の例では、マンドレル層406はスピンオンカーボン材料である。一例では、底部層404は、マンドレル層406とは異なる誘電体材料である。一例では、底部層404は、酸窒化ケイ素(SiON)材料又は酸化ケイ素材料である。
[0050]工程304において、図4Bに示しているように、内部に開口418が形成されたパターニングされたハードマスク層408を形成するために、一連のパターニングとトリミングのプロセスが実施されうる。パターニングされたフォトレジスト層410は、図4Cに示しているように、後に除去されうる。一部の例では、ハードマスク層408をパターニングしている間に、フォトレジスト層410も消尽(consumed)又は除去されうる。その後、マンドレル層406上に形成されたトリミング又はパターニングされたハードマスク層408によって画定された開口418は、パターニングされたフォトレジスト層414によって画定された開口412によって画定されたピッチとは異なる(例えば狭い)、ピッチを有することがある。パターニングされたハードマスク層408が形成された後、別のパターニング/エッチングプロセスが実施されて、マンドレル層406の、パターニングされたハードマスク層408によって露出した部分が除去され、図4Dに示しているように、マンドレル層406に開口424が形成される。スペーサ層の形成(工程306において実施される)に先だってハードマスク層408をトリミングし、マンドレル層406をパターニングすることで、良好なプロファイル、比較的垂直な側壁、望ましく角張った(square)角部、及びマンドレル406からの均等な間隔が提供され、これにより、望ましい真っ直ぐな側壁及び上面のプロファイル(それらの表面上では、後続のスペーサ層が、必要に応じて均一な厚さを伴って共形形成されることが可能になる)が提供されうると、考えられている。
[0051]底部層404の表面422が露出した後、次いで工程306において、図4Eに示しているように、パターニングされたマンドレル層406上にスペーサ層430が形成される。一例では、スペーサ層430は、ドープされたケイ素を含有する層(例えばホウ素がドープされたケイ素材料、リンがドープされたケイ素、又は他の好適なIII族、IV族、若しくはV族がドープされたケイ素材料)である。一例では、スペーサ層430はホウ素がドープされたケイ素層である。
[0052]一実施形態では、スペーサ層430は、CVDチャンバ(図1に示しているCVD処理チャンバ100など)の中で形成される。スペーサ層430は任意の好適な堆積プロセス(PECVD、ALD、SACVD、HDPCVD、スピンオンコーティング、又は他の好適な堆積技法など)によって形成されうることに、留意されたい。一例では、スペーサ層430は、約5nmと約25nmとの間の厚さを有する。
[0053]一例において、スペーサ層430は、マンドレル層406上に共形形成され、マンドレル層406の上面432及び側壁434を共形的にライニングする。ドープされたケイ素層(ホウ素がドープされたケイ素材料など)から製造されたスペーサ層430は、後続のパターニングプロセスにおいて良好なエッチング選択性を提供することが可能であり、これにより、必要に応じて、パターニングプロセス後にスペーサ層430の良好なプロファイルが得られると、考えられている。
[0054]ドープされたケイ素を含有する材料(ホウ素がドープされたケイ素材料など)は、ケイ素含有材料(ドープされていないアモルファスシリコンなど)を堆積するためによく使用される温度を下回る温度で堆積されうると、考えられている。従来的なCVDプロセスにより形成されるスペーサ層430などの、一部の従来的な実践においては、多くの場合、摂氏400度を上回る堆積温度を利用することが必要になる。対照的に、本書で開示しているドープされたケイ素材料(ホウ素がドープされたケイ素材料など)は、摂氏250度を下回る(例えば摂氏220度未満の、例としては摂氏約150度と摂氏約200度との間の)堆積温度を用いるCVDプロセスによって形成されうる。ドープされたケイ素材料の堆積が比較的低いことで、後続のエッチング/パターニングプロセスにおいて提供されるプロセスウィンドウが広くなる。更に、近傍材料(マンドレル層406など)のために選択される材料のバリエーション及びタイプも広がりうる。例えば、堆積プロセス温度が低いことで、スペーサ層430が接触しているマンドレル層406が、実質的な材料変更、膜歪み、熱分解(化学的なものと物理的なもののいずれも)、及び様々な種類の変化を伴わずに、最高で摂氏250度の温度のプロセスが行われることが可能な材料から製造されることが、可能になる。その結果として、マンドレル層406のために、摂氏250℃を下回る(例えば、摂氏100℃を上回るが摂氏250℃を下回る)温度に耐久性を有しうることが多い、一部の種類の炭素材料、ポリマー材料、有機材料、又はフォトレジスト材料が選択されてよく、これにより、工程308における、後続のパターニングプロセス中のエッチング選択性が向上しうる。更に、スペーサ層430の堆積プロセスが比較的低温であることで、マイクロローディング効果も最小化される。
[0055]工程308において、図4Fに示しているようにマンドレル層406を除去するためにパターニングプロセスが実施され、スペーサ層430からスペーサ構造物431が形成される。このパターニングプロセスは、エッチングチャンバ(図2に示している処理チャンバなど)で実施されうる。パターニングプロセスは、スペーサ層430のある部分(例えば側壁434)が望ましいプロファイルを有して基板上に残りうるように、望ましい方向性を伴ってスペーサ層430及びマンドレル層406を選択的に除去して、スペーサ構造物431を形成しうる、第1混合ガスを供給することによって実施される。一例では、パターニングプロセス中に供給される第1混合ガスは、スペーサ層430からケイ素含有材料を異方性エッチングするために、特にドープされたケイ素を含有する材料を異方性エッチングするために利用される、反応性エッチャントを含みうる。
[0056]一例では、第1混合ガスは、HBr、塩素ガス(Cl)、三フッ化窒素(NF)、六フッ化硫黄ガス(SF)、炭素とフッ素とを含有するガス(例えばCF、CHF、Cなど)からなる群から選択されたハロゲン含有ガスを含む。ある特定の例では、第1混合ガスは、スペーサ層430をエッチングするために利用されるHBr及び塩素ガス(Cl)を含む。第1混合ガスは、スペーサ層430の側壁434を著しく腐食することなく、スペーサ層430の上部及び底部を除去するよう構成される。一例では、スペーサ層430のエッチングにより、実質的に角張ったスペーサ構造物431の上面がもたらされる。
[0057]第1混合ガスがエッチングチャンバ内に供給されている間に、いくつかのプロセスパラメータが調節される。一実施形態では、第1混合ガスの存在下でチャンバ圧力が調節される。例示的な一実施形態では、エッチングチャンバ内のプロセス圧力は、約2mTorr~約2000mTorr(例えば約100mTorrと約800mTorrとの間)に調節される。第1混合ガスから形成されたプラズマを維持するために、RFソース電力及びRFバイアス電力が印加されうる。エッチングチャンバ内部のプラズマを維持するために、例えば、約100ワット~約1500ワット(例えば200ワットと約800ワットとの間)のRFソース電力が、誘導結合されたアンテナ源に印加されうる。第1混合ガスを供給している間に、約200ワットを下回る(例えば約150ワットと約40ワットとの間の)RFバイアス電力が印加される。第1混合ガスは、約50sccm~約1000sccmの速度でチャンバに流入しうる。基板温度は、摂氏約-20℃~摂氏約80℃に維持される。
[0058]スペーサ層430の特定の部分を優勢に除去することを容易にするために、第1混合ガスを供給している間に、RFソース電力及びRFバイアス電力の範囲が変更されうる。例えば、第1混合ガスが供給されている間に、必要に応じて、RFソース電力が(例えば第1RFソース電力設定から第2RFソース電力設定へと)増大されうると共に、RFバイアス電力は(例えば第1RFバイアス電力設定から第2RFバイアス電力設定へと)低減されうる。一例では、第1のRFソース電力及びRFバイアス電力の設定が約5秒間と約20秒間との間の時間にわたって実施された後に、第1のRFソース電力及びRFバイアス電力の設定は第2のRFソース電力及びRFバイアス電力の設定に移行して、パターニングプロセスが継続されうる。一例では、第2RFソース電力設定は、第1RFソース電力設定よりも約30%と約80%との間の割合だけ大きくなる。第2バイアス電力設定は、第1バイアス電力設定よりも約30パーセントと約70パーセントとの間の割合だけ小さくなる。
[0059]ある特定の例では、第1RFソース電力設定は約500ワットと約600ワットとの間であり、第1RFバイアス電力設定は約50ワットと約150ワットとの間である。第2RFソース電力設定は約700ワットと約900ワットとの間であり、第2RFバイアス電力設定は約20ワットと約100ワットとの間である。
[0060]一例では、パターニングプロセスは一又は複数の処理段階を含みうる。例えば、上部及び底部(例えば、底部層404の上の部分)を優勢に除去するために第1混合ガスが供給された後に、第2混合ガスが、マンドレル層406を優勢に除去するために供給される。第2混合ガスは、酸素含有混合ガス及び/又はキャリアガス(例えばN、He、Arなど)を含む。一例では、炭素とフッ素とを含有するガス(例えばCHやCFなど)も、必要に応じて利用されうる。ある特定の例では、第2混合ガスは、O及びN、又はO、N及びCHを含む。
[0061]第2混合ガスがエッチングチャンバ内に供給されている間に、いくつかのプロセスパラメータが調節される。一実施形態では、第2混合ガスの存在下でチャンバ圧力が調節される。例示的な一実施形態では、エッチングチャンバ内のプロセス圧力は、約2mTorr~約2000mTorr(例えば約100mTorrと約800mTorrとの間)に調節される。第1混合ガスから形成されたプラズマを維持するために、RFソース電力及びRFバイアス電力が印加されうる。エッチングチャンバ内部のプラズマを維持するために、例えば、約100ワット~約1500ワット(例えば500ワットと約1500ワットとの間の)RFソース電力が、誘導結合されたアンテナ源に印加されうる。第2混合ガスを供給している間に、約200ワットを下回る(例えば約150ワットと約40ワットとの間の)RFバイアス電力が印加される。第2混合ガスを供給している間に印加されるRFバイアス電力は、第1混合ガスを供給している間に印加される第1及び第2のバイアス電力設定と同様である。一部の例では、第2混合ガスを供給している間に印加されるRFソース電力は、第1混合ガスを供給している間に印加される第1及び第2のソース電力設定よりも大きくなる。第2混合ガスは、約50sccm~約1000sccmの速度でチャンバに流入しうる。基板温度は、摂氏約-20℃~摂氏約80℃に維持される。
[0062]工程310において、図4Gに示しているように、スペーサ構造物431上にライナ層440が形成されうる。ライナ層440は、もう1つのスペーサ層と見なされることもあり(例えばスペーサ-オン-スペーサ スキーム)、それらの間に画定される開口の寸法を、必要に応じた寸法縮小を伴って低減するのに役立つ。ライナ層440は、CVD、ALD、スピンコーティング、又は任意の好適な堆積技法によって形成される、任意の好適な酸化物含有材料でありうる。一例では、ライナ層440は、ALDプロセスによって形成される酸化ケイ素層である。ここで形成されるライナ層440は、スペーサ構造物431上に共形形成され、スペーサ構造物431の上面438及び側壁434を共形的にライニングすることに、留意されたい。ライナ層440は、スペーサ構造物431の間に画定された開口424の寸法を更に低減し、必要に応じて縮小された微小寸法を伴って下層にフィーチャを転写するためのマスク層として更に利用されうる。
[0063]工程312において、図4Hに示しているようにライナ層440の一部分を基板から除去するために、別のパターニングプロセスが実施される。ライナ層440の基板から除去される部分は、スペーサ構造物431の上面438上及び底部層404の表面422上に形成されたライナ層440を含む。スペーサ構造物431の上面438が露出するまで、ライナ層440に異方性エッチング又はパターニングが行われ、スペーサ構造物431をライニングする側壁部分448を有するライナ層440が残る。このパターニングプロセスは、工程308において実施されたパターニングプロセスと類似していることがある。一例では、工程312の結果として、スペーサ構造物431の上面438は実質的に角張ったものになる。
[0064]スペーサ構造物431の上面446が露出した後、工程314において、図4Iに示しているように基板からスペーサ構造物431を除去するためにエッチングプロセスが実施され、ライナ層440間に望ましい寸法を有する開口450が形成される。開口450により、底部層404の上面442が露出する。ライナ層440は、後に、下にある層及び/又は構造物へのフィーチャの転写を容易にしうるマスク層として利用されうる。図4Iに示しているように、基板402上に形成されたライナ層440は、側壁が垂直であり、かつフーチング、ファセット、又はその他の望ましくない欠陥が最小化された、望ましいプロファイルを有する。スペーサ構造物431(例えばドープされたケイ素材料)とライナ層440(例えば酸化ケイ素材料)とマンドレル層406との間の材料特性の違いを利用することによって、高いエッチング選択性が得られ、ゆえに、後続のパターニングプロセスのためのハードマスクとして、基板上に平滑でライン粗さが最小のライナ層440が設けられうる。このパターニングプロセスは、工程308において実施されたパターニングプロセスと類似していることがある。
[0065]更に、図5A~図5Gは、図3の方法300のプロセスシーケンスを同様に利用しうるパターニングプロセスにおいて、下にある構造物にフィーチャを転写するためのマスク層としてライナ層を形成するための、別の膜積層体構造物での類似したプロセスフローを示している。ここでも、工程302において、膜積層体500は、図5Aに示しているように、底部層404上に配置されたマンドレル層506を含む。この例のマンドレル層506はフォトレジスト材料でありうる。マンドレル層506のために選択されるフォトレジスト材料は、実質的な熱分解及び/又は化学分解を伴わずに、最高で摂氏200℃の熱プロセスのもとで耐久性を有しうる、有機材料でありうる。マンドレル層506の熱抵抗が比較的高いことで、分解も損傷も伴わずにマンドレル層506上に後続のスペーサ層の堆積を実行することが可能になり、これによって、摂氏150℃を上回るプロセス温度を有するCVDプロセスを使用して、マンドレル層506上にスペーサ層を形成することが可能になりうる。
[0066]工程304において、マンドレル層506は、図5Bに示しているように、第1寸法508から第2縮小寸法512へとマンドレル層506の寸法を縮小するよう、例えば異方性エッチングによってトリミングされうる。
[0067]工程306において、図5Cに示しているようにマンドレル層506上にスペーサ層524を形成するために、スペーサ堆積プロセスが実施される。スペーサ層524は、マンドレル層506の上面518上に形成された上部530と、マンドレル層506の側壁に形成された側壁514とを含む。上述したように、スペーサ層524は、ドープされたケイ素を含有する材料からCVDプロセスによって形成される上述のスペーサ層430と、類似していてよく、同じであってもよい。
[0068]工程308において、マンドレル層506が基板402から除去され、図5Dに示しているように、スペーサ層524の側壁514からスペーサ構造物521が形成される。上述したように、マンドレル層506はフォトレジスト材料で形成されるので、マンドレル層506を除去するために、酸素含有ガス及び/又はキャリアガス(N、He、Arなど)が利用される。一例では、炭素とフッ素とを含有するガス(例えばCHやCFなど)も、必要に応じて利用されうる。ある特定の例では、第2混合ガスは、O及びN、又はO、N及びCHを含む。
[0069]酸素含有ガス及び/又はキャリアガスがエッチングチャンバ内に供給されている間に、いくつかのプロセスパラメータが調節される。一実施形態では、酸素含有ガス及び/又はキャリアガスの存在下でチャンバ圧力が調節される。例示的な一実施形態では、エッチングチャンバ内のプロセス圧力は、約2mTorr~約2000mTorr(例えば約100mTorrと約800mTorrとの間)に調節される。第1混合ガスから形成されたプラズマを維持するために、RFソース電力及びRFバイアス電力が印加されうる。エッチングチャンバ内部のプラズマを維持するために、例えば、約100ワット~約1500ワット(例えば500ワットと約1500ワットとの間)のRFソース電力が、誘導結合されたアンテナ源に印加されうる。酸素含有ガス及び/又はキャリアガスを供給している間に、約200ワットを下回る(例えば約150ワットと約40ワットとの間の)RFバイアス電力が印加される。酸素含有ガス及び/又はキャリアガスは、約50sccm~約1000sccmの速度でチャンバに流入しうる。基板温度は、摂氏約-20℃~摂氏約80℃に維持される。
[0070]工程310において、図5Eに示しているように、スペーサ構造物521上にライナ層522が形成される。ライナ層522は、もう1つのスペーサ層と見なされることもあり(例えばスペース-オン-スペーサ スキーム)、それらの間に画定される開口の寸法を、必要に応じた寸法縮小を伴って低減するのに役立つ。ライナ層522は、スペーサ構造物521上に共形形成される。ライナ層552は、上述したライナ層440と同様に、任意の好適な堆積プロセス(CVD、ALDなど)によって形成された酸化ケイ素層である。
[0071]ライナ層522は次いで、工程312において同様に、スペーサ構造物521の上面530が露出するまで(例えば、スペーサ層516の側壁514上には残るように)、パターニングされるか、トリミングされるか、又は異方性エッチングされる。このパターニングプロセスは、工程308において実施されたパターニングプロセスと類似していることがある。
[0072]ライナ層522がトリミングされ、スペーサ構造物521の上面530が露出した後、工程314においてパターニングプロセスが実施されて、底部層404の上面520が露出されるまでスペーサ構造物521が選択的に除去され、基板402上には、後続のパターニングプロセスのためのマスク層としてライナ層522が残りうる。このパターニングプロセスは、ライナ層522とスペーサ構造物521との間に高いエッチング選択性を有し、ライナ層522間に望ましい寸法を伴って開口544を形成しうる。このパターニングプロセスは、工程308において実施されたパターニングプロセスと類似していることがある。
[0073]図6A~6Fは、上述したスペーサ層430、516及び/又はライナ層440、552を利用する多重パターニングプロセスによってナノ構造物を形成するためのシーケンスの複数の断面図の別の例を示している。スペーサ層430、516は、ドープされたケイ素を含有する材料(ホウ素がドープされたケイ素層など)によって製造される。図6A~図6Fに示している例では、マスク層の第1の対604(例えば、上述したスペーサ層430、516及び/又はライナ層440、552に類似したものでありうる)が、図6Aに示しているように、ベース層603上に形成され、間にピッチ602を画定する。ピッチ602は、約50nmと約150nmとの間の(例えば約60nmと約90nmとの間の、例としては約80nmの)幅を有するよう構成されうる。マスク層の第1の対604は、第1寸法605を画定しうる。このステップでは、パターニングされたマスク層の第1の対604をベース層603上に形成するために、1つのリソグラフィ露光プロセス及び1つのエッチング/トリミングプロセス(異方性エッチングなど)が必要とされうる。一例では、マスク層の第1の対604は、必要に応じた好適な誘電体材料によって製造されうる。一例では、マスク層の第1の対604は、上述したスペーサ層430、516を形成するために利用される材料と類似した、ドープされたケイ素を含有する材料(ホウ素がドープされたケイ素材料など)によって形成されうる。
[0074]その後、マスク層の第2の対606及び第3の対608(例えば、上述したスペーサ層430、516及び/又はライナ層440、552に類似したものでありうる)が、図6Bに示しているように、ベース層603上に形成される。マスク層の第2の対606と第3の対608は各々、第2寸法654と第3寸法652を画定しうる。第2寸法654及び第3寸法652は、実質的に同様の幅を有するよう構成される。このプロセスでも同様に、完了までに、堆積(ALD)プロセスとエッチングプロセスとが2サイクル必要とされうる。一例では、マスク層の第2の対606及び第3の対608は、必要に応じた好適な誘電体材料によって製造されうる。マスク層の第2の対606及び第3の対608も、上述したスペーサ層430、516を形成するために利用される材料と類似した、ドープされたケイ素を含有する材料(ホウ素がドープされたケイ素材料など)によって形成されうる。
[0075]加えて、マスク層の第4の対610(例えば、上述したスペーサ層430、516及び/又はライナ層440、552に類似したものでありうる)を形成し、ピッチ650を更に縮小して、図6Cに示している挟ピッチ660にするために、堆積(ALD)プロセスとエッチングプロセスとの第3のサイクルが実施されうる。ここまでに、ピッチ660は、約15nm未満(例えば約10nm)まで縮小されうる。マスク層の第4の対610は、他のマスク層604、606、608によって画定された第1寸法605、第2寸法654、及び第3寸法652と類似した、第4寸法656を画定しうる。一例では、マスク層の第4の対610は、必要に応じた好適な誘電体材料によって製造されうる。マスク層の第4の対610も、上述したスペーサ層430、516を形成するために利用される材料と類似した、ドープされたケイ素を含有する材料(ホウ素がドープされたケイ素材料など)によって形成されうる。
[0076]ピッチ660及び寸法605、654、652、656がすべて望ましいレベルに到達すると、図6Dに示しているようにマスク層の一部分(例えば、マスク層の第1の対604及び第3の対608)を選択的に除去するためにエッチングプロセスが実施され、ベース層603上に残ったマスク層606、610の間に、均等幅652、605を有する開口647が作成される。ベース層603からマスク層の第1の対604及びマスク層の第3の対608を選択的に除去するためには、追加のエッチングプロセスが必要になる。(1L)
[0077]開口647が画定された後、図6Eに示しているように、ベース層606をエッチングしてベース層603に開口690を形成するよう、最終的なエッチング停止が実施される。その後、次いで残ったマスク層606、610が除去され、図6Fに示しているように、寸法605、652を有する開口664を伴うベース層603が、後のエッチングプロセスのためのエッチングマスクとして残される。
[0078]マスク層の各対は、それらの間のエッチング選択性を高めるように異なる材料によって製造されうることに、留意されたい。上述したように、アモルファスシリコン材料、ポリシリコン材料、アモルファスカーボン材料、有機材料、フォトレジスト層、酸化ケイ素材料、ドープされたケイ素材料(例えば、工程306で上述したホウ素がドープされたケイ素材料)などを含む好適な材料が、必要に応じてエッチングプロファイルを強化するために、必要に応じて利用されうる。
[0079]図6A~6Fに記載している例は、三重スペーサパターニング(SATSP)プロセスであるが、スペーサ層430、516のために選択される材料(ドープされたケイ素を含有する材料など)は、任意の回数のスペーサ堆積とパターニングのプロセスを有する任意の好適なパターニングプロセスにおいて利用されうることに、留意されたい。
[0080]ゆえに、微小寸法を有するフィーチャをマスク層に転写する、多重スペーサパターニングプロセスのための方法が提供される。この多重スペーサパターニングプロセスは、スペーサ層とライナ層との間の高いエッチング選択性を利用することにより、(ナノ寸法のフィーチャの転写プロセスを容易にするマスク層として利用されうる)ライナ層及び/又はスペーサ層の望ましいプロファイルが得られる。
[0081]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 基板上にフィーチャを形成するための方法であって、
    基板上にマンドレル層を形成することと、
    前記マンドレル層上にスペーサ層を共形形成することであって、前記スペーサ層はドープされたケイ素材料である、スペーサ層を共形形成することと、
    前記スペーサ層をパターニングすることと、を含む、方法。
  2. 前記スペーサ層をパターニングすることが、
    ハロゲン含有ガスを含む第1混合ガスを供給することと、
    前記第1混合ガス中に第1RFソース電力設定を適用することと、を更に含む、請求項1に記載の方法。
  3. 前記第1混合ガスを供給している間に前記第1RFソース電力設定を第2RFソース電力設定に切り替えることであって、前記第2RFソース電力設定が前記第1RFソース電力設定を上回る、RFソース電力設定を切り替えることを更に含む、請求項2に記載の方法。
  4. 前記第1混合ガス中に第1RFバイアス電力設定を適用することと、
    前記第1混合ガスを供給している間に前記第1RFバイアス電力設定を第2RFバイアス電力設定に切り替えることであって、前記第2RFバイアス電力設定が前記第1RFバイアス電力設定を下回る、RFバイアス電力設定を切り替えることと、を更に含む、請求項2に記載の方法。
  5. 酸素含有ガスを含む第2混合ガスを供給することを更に含む、請求項2に記載の方法。
  6. 前記第2混合ガスを供給している間に第3RFソース電力設定を適用することであって、前記第3RFソース電力設定が、前記第1混合ガスを供給している間の前記第1ソース電力設定及び第2ソース電力設定を上回る、第3RFソース電力設定を適用することを更に含む、請求項5に記載の方法。
  7. 前記スペーサ層の前記ドープされたケイ素材料は、III族、IV族、又はV族がドープされたケイ素材料からなる群から選択される、請求項1に記載の方法。
  8. 前記スペーサ層の前記ドープされたケイ素材料は、ホウ素がドープされたケイ素材料である、請求項1に記載の方法。
  9. 前記マンドレル層が有機材料から形成されたフォトレジスト層を含む、請求項1に記載の方法。
  10. パターニングされた前記スペーサ層上にライナ層を形成することであって、前記ライナ層が前記スペーサ層とは異なる材料から製造される、ライナ層を形成することを更に含む、請求項1に記載の方法。
  11. 前記ライナ層が酸化ケイ素層である、請求項10に記載の方法。
  12. 基板上にフィーチャを形成するための方法であって、
    基板上のマンドレル層上にスペーサ層を共形形成することであって、前記スペーサ層はドープされたケイ素材料である、スペーサ層を共形形成することと、
    第1混合ガスを使用して、前記スペーサ層の一部分を選択的に除去することと、
    前記第1混合ガスとは異なる第2混合ガスを使用して、前記マンドレル層を選択的に除去することと、を含む、方法。
  13. 前記スペーサ層の前記一部分を選択的に除去することが、
    前記第1混合ガスを供給している間に、第1RFソース電力設定を適用することと、
    その後、前記第1混合ガスを供給し続けている間に、第1RFソース電力とは異なる第2RFソース電力設定を適用することであって、前記第2RFソース電力が前記第1RFソース電力よりも大きい、第2RFソース電力設定を適用することと、を更に含む、請求項12に記載の方法。
  14. 前記スペーサ層の前記一部分を選択的に除去することが、
    前記第1混合ガスを供給している間に、第1RFバイアス電力設定を適用することと、
    その後、前記第1混合ガスを供給し続けている間に、第1RFソース電力とは異なる第2RFバイアス電力設定を適用することであって、前記第2RFバイアス電力が前記第1RFバイアス電力よりも小さい、第2RFバイアス電力設定を適用することと、を更に含む、請求項12に記載の方法。
  15. 基板上にフィーチャを形成するための方法であって、
    基板上のマンドレル層上にスペーサ層を共形形成することであって、前記スペーサ層はドープされたケイ素材料であり、前記マンドレル層は、最高で摂氏200度の熱プロセスのもとで耐久性を有する有機材料から製造される、スペーサ層を共形形成することと、
    第1混合ガスを使用して、前記スペーサ層の一部分を選択的に除去することと、
    前記第1混合ガスとは異なる第2混合ガスを使用して、前記マンドレル層を選択的に除去することと、を含む、方法。
JP2021560250A 2019-04-17 2020-03-19 多重スペーサパターニングのスキーム Active JP7357688B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962835290P 2019-04-17 2019-04-17
US62/835,290 2019-04-17
PCT/US2020/023681 WO2020214326A1 (en) 2019-04-17 2020-03-19 Multiple spacer patterning schemes

Publications (2)

Publication Number Publication Date
JP2022529610A true JP2022529610A (ja) 2022-06-23
JP7357688B2 JP7357688B2 (ja) 2023-10-06

Family

ID=72830841

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021560250A Active JP7357688B2 (ja) 2019-04-17 2020-03-19 多重スペーサパターニングのスキーム

Country Status (6)

Country Link
US (3) US11315787B2 (ja)
JP (1) JP7357688B2 (ja)
KR (1) KR20210129271A (ja)
CN (1) CN113614880A (ja)
TW (1) TW202105472A (ja)
WO (1) WO2020214326A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11881402B2 (en) 2020-09-30 2024-01-23 Applied Materials, Inc. Self aligned multiple patterning
US20220189771A1 (en) * 2020-12-10 2022-06-16 Applied Materials, Inc. Underlayer film for semiconductor device formation
JP2023552977A (ja) * 2020-12-15 2023-12-20 アプライド マテリアルズ インコーポレイテッド 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
US20220367251A1 (en) * 2021-05-12 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
US20230178379A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Film deposition for patterning process
US11830744B1 (en) * 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002278067A (ja) * 2001-03-19 2002-09-27 Clariant (Japan) Kk ネガ型感光性樹脂組成物及びこれを用いた表示デバイス
JP2007161985A (ja) * 2005-12-15 2007-06-28 Hynix Semiconductor Inc 半導体素子のハードマスク用高分子及びこれを含む組成物
US20160293420A1 (en) * 2015-03-30 2016-10-06 Applied Materials, Inc. Methods for manufacturing a spacer with desired profile in an advanced patterning process
US20190027362A1 (en) * 2017-07-24 2019-01-24 Applied Materials, Inc. Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US7294581B2 (en) 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7811924B2 (en) 2008-06-16 2010-10-12 Applied Materials, Inc. Air gap formation and integration using a patterning cap
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8735291B2 (en) * 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US9257293B2 (en) 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US8932947B1 (en) * 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications
US20150064921A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Low temperature plasma anneal process for sublimative etch processes
US9466486B2 (en) * 2013-08-30 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
WO2015153040A1 (en) * 2014-04-01 2015-10-08 Applied Materials, Inc. Integrated metal spacer and air gap interconnect
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9548201B2 (en) 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
US9941139B2 (en) * 2014-09-10 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9472414B2 (en) * 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
CN106298519A (zh) * 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
JP2018531506A (ja) * 2015-09-24 2018-10-25 東京エレクトロン株式会社 サブ解像度基板パターニングのためのエッチングマスクを形成する方法
EP3390560A4 (en) * 2015-12-18 2019-08-07 3M Innovative Properties Company ADHESIVE ARTICLE COATING HAVING SORBENT MATERIAL
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
WO2018052760A1 (en) * 2016-09-13 2018-03-22 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US20180323061A1 (en) 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10510540B2 (en) 2017-07-15 2019-12-17 Micromaterials Llc Mask scheme for cut pattern flow with enlarged EPE window
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002278067A (ja) * 2001-03-19 2002-09-27 Clariant (Japan) Kk ネガ型感光性樹脂組成物及びこれを用いた表示デバイス
JP2007161985A (ja) * 2005-12-15 2007-06-28 Hynix Semiconductor Inc 半導体素子のハードマスク用高分子及びこれを含む組成物
US20160293420A1 (en) * 2015-03-30 2016-10-06 Applied Materials, Inc. Methods for manufacturing a spacer with desired profile in an advanced patterning process
US20190027362A1 (en) * 2017-07-24 2019-01-24 Applied Materials, Inc. Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide

Also Published As

Publication number Publication date
US20200335339A1 (en) 2020-10-22
US11315787B2 (en) 2022-04-26
KR20210129271A (ko) 2021-10-27
CN113614880A (zh) 2021-11-05
US20230093450A1 (en) 2023-03-23
US20200335338A1 (en) 2020-10-22
US11527408B2 (en) 2022-12-13
TW202105472A (zh) 2021-02-01
WO2020214326A1 (en) 2020-10-22
JP7357688B2 (ja) 2023-10-06

Similar Documents

Publication Publication Date Title
JP7357688B2 (ja) 多重スペーサパターニングのスキーム
TWI726034B (zh) 基板處理方法
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
US20180261510A1 (en) Tone inversion method and structure for selective contact via patterning
TW202236377A (zh) 在高深寬比碳層蝕刻期間形成側壁鈍化層的非原子層沉積方法
JP2005045053A (ja) 半導体装置の製造方法
TWI827709B (zh) 用於沉積摻雜磷之氮化矽膜的方法
TW202236508A (zh) 用於半導體裝置形成之底層膜
KR20240023608A (ko) Sib 막들을 증착하기 위한 프로세스들
US11881402B2 (en) Self aligned multiple patterning
US11456173B2 (en) Methods for modifying photoresist profiles and tuning critical dimensions
US20230066543A1 (en) Fully self aligned via integration processes
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
TW202308466A (zh) 電漿處理方法、電漿處理裝置及電漿處理系統
TW202301438A (zh) 具有平坦頂部輪廓的間隔物圖案化製程

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230207

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230508

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230926

R150 Certificate of patent or registration of utility model

Ref document number: 7357688

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150