TW202236508A - 用於半導體裝置形成之底層膜 - Google Patents

用於半導體裝置形成之底層膜 Download PDF

Info

Publication number
TW202236508A
TW202236508A TW110144346A TW110144346A TW202236508A TW 202236508 A TW202236508 A TW 202236508A TW 110144346 A TW110144346 A TW 110144346A TW 110144346 A TW110144346 A TW 110144346A TW 202236508 A TW202236508 A TW 202236508A
Authority
TW
Taiwan
Prior art keywords
layer
etching process
gas
etching
bottom layer
Prior art date
Application number
TW110144346A
Other languages
English (en)
Inventor
吉尼 李
加布里埃拉 阿爾瓦
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202236508A publication Critical patent/TW202236508A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種結構包括形成在基板上的底層、形成在底層上的心軸層,以及形成在心軸層上的間隔物層。底層包含第一材料,並且間隔物層包含第二材料。第一材料耐受一第一蝕刻製程中使用以移除該間隔物層的部分的蝕刻氣體,並耐受一第二蝕刻製程中使用以移除該心軸層的蝕刻氣體。

Description

用於半導體裝置形成之底層膜
本揭露案的實例大體而言係關於形成半導體裝置。特別地,本揭露案的實施例提供了用於形成具有減少缺陷的奈米結構的方法。
在積體電路(integrated circuit, IC)或晶片的製造中,由晶片設計者創建代表晶片的不同層的圖案。從該等圖案創建一系列光遮罩,以便在製造製程期間藉由光微影術將每個半導體層的設計轉移到半導體基板上。隨後使用遮罩藉由濕法或乾法蝕刻將每層的電路圖案轉移到半導體基板上。該等層是使用一系列微影及蝕刻製程構建的,並轉化為構成每個完成晶片的奈米結構。
然而,在濕法或乾法蝕刻製程中,設置在層下面的底層在蝕刻製程中可能沒有足夠低的蝕刻速率來圖案化半導體層,並且可能與半導體層一起被蝕刻。此可能會在底層中形成凹陷,從而導致最終晶片中的缺陷,由此最終導致裝置故障。
因此,需要一種在蝕刻製程中具有顯著低的蝕刻速率以圖案化層的底層,以及使用此類底層形成奈米結構的方法。
本揭露案的實施例提供了一種結構。該結構包括形成在基板上的底層、形成在底層上的心軸層,以及形成在心軸層上的間隔物層。底層包含第一材料,並且間隔物層包含第二材料。第一材料耐受第一蝕刻製程中使用以移除間隔物層的部分的蝕刻氣體,並耐受第二蝕刻製程中使用以移除心軸的蝕刻氣體。
本揭露案的實施例亦提供了一種用於在形成結構中使用的底層。底層包含形成在基板上的第一材料,該第一材料耐受第一蝕刻製程中使用以移除形成在第一材料上的第二材料的部分的蝕刻氣體。
本揭露案的實施例進一步提供了一種用於在基板上形成結構的方法。該方法包括執行沉積製程,包括在心軸層和底層的從心軸層暴露的表面上保形沉積間隔物層;執行第一蝕刻製程,包括從心軸層的頂表面和底層的表面移除間隔物層的部分而不從心軸層的側壁移除間隔物層;以及執行第二蝕刻製程以移除心軸層而不移除間隔物層。第一次蝕刻和第二次蝕刻導致底層中基本上沒有或沒有凹陷。
本文所述的實施例提供了用於底層的材料,底層在蝕刻製程中具有低蝕刻速率以移除在底層上形成的層的部分;以及使用此類底層形成奈米結構的方法。待蝕刻的層可以由含碳材料、氮化矽、摻雜的含矽材料、或氧化矽形成。底層可以由氧化鋁(Al 2O 3)、氧化錫(SnO 2)、碳化鎢(WC)、硼(B)、含矽介電材料諸如氮化矽(Si 3N 4)、氮化碳矽(SiCN)、或氮化硼矽(SiBN)、含硼介電材料諸如氧化硼(B 2O 3)或氮化硼(BN)、或陶瓷材料諸如二氧化鋯(ZrO 2)或氮化鈦(TiN)形成。由於底層的低蝕刻速率,當在底層上形成的半導體層被圖案化時,底層保持不被損壞(例如,其中沒有形成凹陷)。
第1圖是具有分隔的電漿產生區域的化學氣相沉積腔室100的一個實施例的剖視圖。化學氣相沉積腔室100可用於在基板上沉積含矽層,諸如氧化矽、氮化矽、硼化矽、碳化矽、氮氧化矽或碳氧化矽。在沉積製程期間,製程氣體可以經由氣體入口組件105流入第一電漿區域115。製程氣體可以在進入遠程電漿系統(remote plasma system, RPS) 101內的第一電漿區域115之前被激發。沉積腔室100包括蓋112和噴頭125。蓋112被描繪為具有施加的AC電壓源,並且噴頭125係接地的,與第一電漿區域115中的電漿產生一致。絕緣環120位於蓋112與噴頭125之間,使得電感耦合電漿(inductively coupled plasma, ICP)或電容耦合電漿(capacitively coupled plasma, CCP)能夠在第一電漿區域115中形成。蓋112和噴頭125被圖示為有絕緣環120處於其之間,此允許相對於噴頭125向蓋112施加AC電勢。
蓋112可以是雙源蓋,其特徵在於氣體入口組件105內的兩個不同的氣體供應通道。第一氣體供應通道102輸送穿過遠程電漿系統(RPS) 101的氣體,而第二氣體供應通道104繞過RPS 101。第一氣體供應通道102可用於製程氣體,並且第二氣體供應通道104可用於處理氣體。流入第一電漿區域115的氣體可以被擋板106分散。
流體(諸如前驅物)的可以經由噴頭125流入沉積腔室100的第二電漿區域133。源自第一電漿區域115中的前驅物的經激發物質行進穿過噴頭125中的孔隙114,並與從噴頭125流入第二電漿區域133的前驅物反應。在第二電漿區域133中很少有或不存在電漿。前驅物的經激發衍生物在第二電漿區133中合併,以在基板上形成可流動的介電材料。隨著介電材料的生長,最近添加的材料比底層材料具有更高的遷移率。隨著有機內容物因蒸發而降低,遷移率亦降低。可以使用此種技術由可流動介電材料填充間隙,而不會在沉積完成後在介電材料內留下傳統密度的有機內容物。固化步驟仍可用於進一步減少或移除沉積的膜中的有機內容物。
激發第一電漿區域115中的前驅物單獨地或與遠程電漿系統(RPS) 101組合提供幾個益處。由於第一電漿區域115中的電漿,源自前驅物的經激發物質的濃度在第二電漿區域133內可增加。此種增加可能源於電漿在第一電漿區域115中的位置。第二電漿區域133比遠程電漿系統(RPS) 101更靠近第一電漿區域115,使得經激發的物質經由與其他氣體分子、腔室壁和噴頭表面碰撞而離開激發態的時間更少。
在第二電漿區域133內,源自前驅物的經激發物質的濃度的均勻性亦可增加。此可能是由於第一電漿區域115的形狀,該形狀更類似於第二電漿區域133的形狀。相對於穿過噴頭125中心附近的孔隙114的物質,在遠程電漿系統(RPS) 101中創建的經激發的物質行進更大的距離,以便穿過噴頭125邊緣附近的孔隙114。更大的距離導致經激發的物質的激發減少,並且例如可導致基板邊緣附近較慢的生長速率。在第一電漿區域115中激發前驅物減輕了此種變化。
除了前驅物外,出於各種目的,亦可有在不同時間引入的其他氣體。例如,可以引入處理氣體以從腔室壁、基板、沉積的膜和/或在沉積期間的膜移除不希望的物質。處理氣體可包括選自由以下項組成的群組的氣體中的至少一或多者:H 2、H 2/N 2混合物、NH 3、NH 4OH、O 3、O 2、H 2O 2和水蒸氣。處理氣體可以在電漿中被激發,隨後用於從沉積的膜中減少或移除殘留的有機含量。在其他實例中,處理氣體可以在沒有電漿的情況下使用。當處理氣體包括水蒸氣時,可以使用質量流量計(mass flow meter, MFM)和注入閥,或者藉由利用其他合適的水蒸氣發生器來實現遞送。
在一個實施例中,可以藉由引入含矽前驅物並使處理前驅物在第二電漿區域133中反應來沉積含矽層。介電材料前驅物的實例有含矽前驅物,包括矽烷、乙矽烷、甲基矽烷、二甲基矽烷、三甲基矽烷、四甲基矽烷、四乙氧基矽烷(tetraethoxysilane, TEOS)、三乙氧基矽烷(triethoxysilane, TES)、八甲基環四矽氧烷(octamethylcyclotetrasiloxane, OMCTS)、四甲基-二矽氧烷(tetramethyl-disiloxane, TMDSO)、四甲基環四矽氧烷(tetramethylcyclotetrasiloxane, TMCTS)、四甲基-二乙氧基-二矽氧烷(tetramethyl-diethoxyl-disiloxane, TMDDSO)、二甲基-二甲氧基-矽烷(DMDMS)或其組合。用於沉積氮化矽的額外前驅物包括含Si xN yH z的前驅物,諸如矽烷基胺及其衍生物,包括三矽烷基胺(trisillylamine, TSA)和二矽烷基胺(disillylamine, DSA)、含Si xN yH zO zz的前驅物,含Si xN yH zCl zz的前驅物,或其組合。
處理前驅物可包括含硼化合物、含氫化合物、含氧化合物、含氮化合物、或其組合。含硼化合物的合適實例包括BH 3、B 2H 6、BF 3、BCl 3等。合適的處理前驅物的實例包括選自由以下項組成的群組的化合物中的一或多者:H 2、H 2/N 2混合物、NH 3、NH 4OH、O 3、O 2、H 2O 2、N 2、N xH y化合物(包括N 2H 4蒸氣)、NO、N 2O、NO 2、水蒸氣、或其組合。處理前驅物可係電漿激發的,諸如在RPS單元中電漿激發,以包括含N*和/或H*和/或O*的基團或電漿,例如NH 3、NH 2*、NH*、N*、H*、O*、N*O*、或其組合。或者,處理前驅物可包括本文所述的前驅物中的一或多者。
處理前驅物可以在第一電漿區域115中經電漿激發,以產生製程氣體電漿和基團,包括含B*、N*和/或H*和/或O*的基團,或電漿,或其組合。或者,在引入到第一電漿區域115之前,處理前驅物在穿過遠程電漿系統之後可能已經處於電漿狀態。
隨後,穿過孔隙114將經激發的處理前驅物遞送至第二電漿區域133以用於與前驅物反應。一旦進入處理體積,處理前驅物可以混合並反應,以在基板上沉積介電材料。
第2圖是適於執行圖案化製程(諸如各向異性蝕刻和各向同性蝕刻)的處理腔室200的一個實例的截面圖。可適用於本文揭示的方法的合適的處理腔室包括例如可從加利福尼亞州聖克拉拉市的應用材料公司(Applied Materials, Inc. of Santa Clara, California)獲得的CENTRIS® SYM3™處理腔室。儘管處理腔室200被圖示為包括使得能夠實現優異蝕刻效能的複數個特徵,但是設想到其他處理腔室亦可以適於受益於本文所揭示的發明特徵中的一或多個發明特徵。
處理腔室200包括封閉內部體積206的腔室主體202和蓋204。腔室主體202通常由鋁、不銹鋼或其他合適的材料製成。腔室主體202通常包括側壁208和底部210。基板支撐基座進入埠(未圖示)通常被限定在側壁208中,並且被狹縫閥選擇性地密封以促進基板203從處理腔室200進出。排出埠226限定在腔室主體202中,並將內部體積206耦接至真空泵系統228。真空泵系統228通常包括一或多個泵和節流閥,該一或多個泵和節流閥用於抽空和調節處理腔室200的內部體積206的壓力。在一個實施方式中,真空泵系統228將內部體積206內的壓力維持在通常在約10毫托至約500托之間的操作壓力。
蓋204密封地支撐在腔室主體202的側壁208上。蓋204可以被打開以允許進入處理腔室200的內部體積206。蓋204包括促進光學過程監測的窗242。在一個實施方式中,窗242由石英或其他合適的材料組成,該材料透射由安裝在處理腔室200外部的光學監測系統240所利用的信號。
光學監測系統240被定位為經由窗242觀察腔室主體202的內部體積206和/或定位在基板支撐基座組件248上的基板203中的至少一者。在一個實施例中,光學監測系統240耦接至蓋204並促進整合的沉積製程,該整合的沉積製程使用光學計量來提供資訊,該資訊使得能夠進行製程調整以補償進入基板圖案特徵不一致性(諸如厚度等),並根據需要提供製程狀態監測(諸如電漿監測、溫度監測等)。一種適於受益於本揭露案的光學監測系統是可從加利福尼亞州聖克拉拉市的應用材料公司獲得的EyeD®全光譜干涉計量模組。
氣體面板258耦接至處理腔室200,以向內部體積206提供製程和/或清潔氣體。在第2圖所示的實例中,入口埠232'、232''設置在蓋204中以允許將氣體從氣體面板258遞送至處理腔室200的內部體積206。在一個實施方式中,氣體面板258適於提供氟化的製程氣體穿過入口埠232'、232''並進入處理腔室200的內部體積206。在一個實施方式中,從氣體面板258提供的製程氣體至少包括氟化氣體、氯氣和含碳氣體、氧氣、含氮氣體和含氯氣體。氟化和含碳氣體的實例包括CH 3F、CH 2F 2和CF 4。其他氟化氣體可包括C 2F、C 4F 6、C 3F 8和C 5F 8中的一或多者。含氧氣體的實例包括O 2、CO 2、CO、N 2O、NO 2、O 3、H 2O等。含氮氣體的實例包括N 2、NH 3、N 2O、NO 2等。含氯氣體的實例包括HCl、Cl 2、CCl 4、CHCl 3、CH 2Cl 2、CH 3Cl等。含碳氣體的合適實例包括甲烷(CH 4)、乙烷(C 2H 6)、乙烯(C 2H 4)等。
噴頭組件230耦接至蓋204的內表面214。噴頭組件230包括複數個孔隙,該複數個孔隙允許氣體從入口埠232'、232''流過噴頭組件230進入處理腔室200的內部體積206,在處理腔室200中正在被處理的基板203的表面上呈預定分佈。
遠程電漿源277可以視情況耦接至氣體面板258,以促進在氣體混合物進入內部體積206進行處理之前從遠程電漿中分離氣體混合物。RF源功率243經由匹配網路241耦接至噴頭組件230。RF源功率243通常能夠在約50 kHz至約200 MHz範圍中的可調諧頻率下產生高達約3000 W的功率。
噴頭組件230另外包括可透射光學計量信號的區域。光學透射區域或通道238適於允許光學監測系統240觀察內部體積206和/或位於基板支撐基座組件248上的基板203。通道238可以是形成或設置在噴頭組件230中的材料、一孔隙或複數個孔隙,其基本上透射由光學監測系統240產生並反射回光學監測系統240的能量的波長。
在一個實施方式中,噴頭組件230配置有複數個區域,該複數個區域允許對流入處理腔室200的內部體積206的氣體進行單獨控制。在第2圖所示的實例中,噴頭組件230具有內部區域234和外部區域236,該內部區域和該外部區域經由單獨的入口埠232'、232''單獨耦接至氣體面板258。
基板支撐基座組件248設置在處理腔室200的內部體積206中的氣體分配(噴頭)組件230下方。基板支撐基座組件248在處理期間保持基板203。基板支撐基座組件248通常包括複數個穿過其設置的升降銷(未圖示),該等升降銷被配置為從基板支撐基座組件248升降基板203,並且促進以習知方式用機器人(未圖示)交換基板203。內襯218可以緊密圍繞基板支撐基座組件248的外圍。
在一個實施方式中,基板支撐基座組件248包括安裝板262、基座264和靜電卡盤266。安裝板262耦接至腔室主體202的底部210,並且包括用於將諸如流體、電源線和感測器引線等設施佈線至基座264和靜電卡盤266的通道。靜電卡盤266包括至少一個夾持電極280,以用於將基板203保持在噴頭組件230下方。靜電卡盤266由卡盤電源282驅動,以發展出將基板203保持至卡盤表面的靜電力,此是常規已知的。或者,基板203可以藉由夾緊、真空或重力保持至基板支撐基座組件248。
基座264或靜電卡盤266中的至少一者可以包括至少一個可選的嵌入式加熱器276、至少一個可選的嵌入式隔離器274和複數個導管268、270,以控制基板支撐基座組件248的橫向溫度分佈。導管268、270流體耦接至流體源272,該流體源使溫度調節流體穿過其循環。加熱器276由電源278調節。導管268、270和加熱器276用於控制基座264的溫度,從而加熱和/或冷卻靜電卡盤266,並最終加熱和/或冷卻設置在其上的基板203的溫度分佈。可以使用複數個溫度感測器290、292來監測靜電卡盤266和基座264的溫度。靜電卡盤266可進一步包括複數個氣體通道(未圖示),諸如凹槽,該複數個氣體通道形成在靜電卡盤266的基板支撐基座支撐表面中,並且流體耦接至熱傳遞(或背側)氣體源,諸如He。在操作中,以受控的壓力將背側氣體提供到氣體通道中,以增強靜電卡盤266與基板203之間的熱傳遞。
在一個實施方式中,基板支撐基座組件248被配置為陰極,並且包括耦接至複數個RF偏置電源284、286的電極280。RF偏置電源284、286耦接在設置於基板支撐基座組件248中的電極280與另一電極(諸如噴頭組件230或腔室主體202的頂板(蓋204))之間。RF偏置功率激發並維持由設置在腔室主體202的處理區域中的氣體形成的電漿放電。
在第2圖所示的實例中,雙RF偏置電源284、286經由匹配電路288耦接至設置在基板支撐基座組件248中的電極280。由RF偏置電源284、286產生的信號被經由匹配電路288遞送至基板支撐基座組件248穿過單個饋送以電離在電漿處理腔室200中提供的氣體混合物,從而提供執行沉積或其他電漿增強製程所需的離子能量。RF偏置電源284、286通常能夠產生頻率為約50 kHz至約200 MHz並且功率為約0瓦至約5000瓦的RF信號。額外的偏置電源289可以耦接至電極280以控制電漿的特性。
在一種操作模式中,將基板203設置在電漿處理腔室200中的基板支撐基座組件248上。將製程氣體和/或氣體混合物經由噴頭組件230從氣體面板258引入腔室主體202。真空泵系統228在移除沉積副產物的同時維持腔室主體202內的壓力。
控制器250耦接至處理腔室200以控制處理腔室200的操作。控制器250包括中央處理單元(central processing unit, CPU) 252、記憶體254和支援電路256,該支援電路用於控制製程順序和調節來自氣體面板258的氣流。CPU 252可以是可以在工業環境中使用的任何形式的通用電腦處理器。軟體常式可以儲存在記憶體254,諸如隨機存取記憶體、唯讀記憶體、軟盤或硬碟驅動器或其他形式的數位儲存裝置中。支援電路256通常耦接至CPU 252,並且可包括快取、時鐘電路、輸入/輸出系統、電源等。控制器250與處理腔室200的各種部件之間的雙向通訊係經由許多信號電纜來處理的。
第3圖是根據一個實施例的用於形成奈米結構400的方法300的流程圖。第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖和第4G圖是與方法300的各個階段對應的奈米結構400的一部分的截面圖。方法300可用於在材料層中形成特徵,諸如如接觸介電層、閘電極層、閘極介電層、STI絕緣層、金屬間層(inter-metal layer, IML)或任何合適的層。或者,方法300可以有利地用於根據需要蝕刻任何其他類型的結構。
如第4A圖所示,奈米結構400包括基板402、設置在基板402上的介面層404、設置在介面層404上的底層406、和設置在底層406上的心軸層408。
基板402可包含諸如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓以及圖案化或未圖案化的晶圓、絕緣體上矽(silicon on insulator, SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃或藍寶石的材料。基板402可以具有各種尺寸,諸如200 mm、300 mm、450 mm或其他直徑的晶圓,以及矩形或正方形面板。
介面層404可以由氧化矽(SiO 2)、正矽酸四乙酯(TEOS)、氮氧化矽(SiON)、硼化矽(SiB x)、碳氮化矽(SiCN)、碳化硼(BC)、無定形碳、氮化硼(BN)、氮化碳硼(BCN)、碳摻雜的氧化物、多孔二氧化矽、氮化矽(SiN)、碳氮氧化物、聚合物、磷矽酸鹽玻璃、氟矽酸鹽(SiOF)玻璃、有機矽酸鹽玻璃(SiOCH)、其他合適的氧化物材料、其他合適的碳化物材料、其他合適的碳氧化物材料、或其他合適的氮氧化物材料。
底層406是蝕刻終止層,其提供對如下所述在隨後的蝕刻製程中沉積在心軸層408上的間隔物層424(如第4B圖、第4C圖和第4E圖所示)的蝕刻選擇性。
心軸層408可由含碳材料,諸如無定形碳、旋塗碳(spin-on carbon, SoC)或其他合適的含碳材料形成,並藉由使用任何合適的微影及蝕刻製程用開口422圖案化。在一個特定實例中,心軸層408由位於加利福尼亞州聖克拉拉市的應用材料公司生產的Saphira 高級圖案化膜(Advanced Patterning Film, APF)碳硬遮罩形成。
間隔物層424可以由含矽介電材料,諸如氮化矽(Si 3N 4)、氧化矽(SiO 2)或硼化矽(SiB)形成。在一些其他實施例中,間隔物層424可以由經摻雜的含矽材料,諸如硼摻雜的矽材料、磷摻雜的矽、或其他合適的第III族、第IV族或第V族摻雜的矽材料形成。在一些實施例中,底層406由第一類型的材料形成,該第一類型的材料在用含氟蝕刻氣體移除由氮化矽(Si 3N 4)形成的間隔物層424的部分的蝕刻製程中具有顯著低的蝕刻速率。因此,底層406耐受蝕刻製程中使用的蝕刻氣體。第一類型的材料的合適實例包括氧化鋁(Al 2O 3)、氧化錫(SnO 2)、硼(B)、或碳化鎢(WC)。在用諸如CH 3F的含氟蝕刻氣體的蝕刻製程中由第一類型的材料形成的底層406的蝕刻速率可顯著低於間隔物層424的蝕刻速率。在一些其他實施例中,底層406由第二類型的材料形成,該第二類型的材料在用含氯蝕刻氣體移除由摻雜的含矽材料形成的間隔物層424的部分的蝕刻製程中具有顯著低的蝕刻速率。因此,底層406耐受蝕刻製程中使用的蝕刻氣體。第二類材料的合適實例包括氧化鋁(Al 2O 3)。在使用含氯蝕刻氣體的蝕刻製程中由第二類型的材料形成的底層406的蝕刻速率可以顯著低於間隔物層424的蝕刻速率。在一些其他實施例中,底層406由第三類型的材料形成,該第三類型的材料在用含氟蝕刻氣體移除由氧化矽(SiO 2)形成的間隔物層424的部分的蝕刻製程中具有顯著低的蝕刻速率。因此,底層406耐受蝕刻製程中使用的蝕刻氣體。第三類型的材料的合適實例包括氧化鋁(Al 2O 3)、氧化錫(SnO 2)、硼(B)、或氮化矽(Si 3N 4)。在使用含氟蝕刻氣體諸如CF 4的蝕刻製程中由第三類型的材料形成的底層406的蝕刻速率可顯著低於間隔物層424的蝕刻速率。
在一些其他實施例中,底層406可以由以下物質形成:含矽介電材料,諸如氮化碳矽(SiCN)或氮化硼矽(SiBN);含硼介電材料,諸如氧化硼(B 2O 3)或氮化硼(BN);或陶瓷材料,諸如二氧化鋯(ZrO 2)或氮化鈦(TiN);其他合適的氧化物材料;其他合適的碳化物材料;其他合適的碳氧化物材料;或其他合適的氮氧化物材料,該材料在用於移除間隔物層424的部分的蝕刻製程中具有低蝕刻速率。
方法300藉由用於沉積間隔物層424的沉積製程而開始於方塊302。間隔物層424經由心軸層408的開口422以及心軸層408的頂表面428和側壁430保形地沉積在底層406的暴露表面426上,如第4B圖所示。間隔物層424可以使用任何合適的沉積製程,諸如原子層沉積(atomic layer deposition, ALD)、化學氣相沉積(chemical vapor deposition, CVD)、旋塗、物理氣相沉積(physical vapor deposition, PVD)等形成。
在方塊304中,執行第一蝕刻製程以從底層406的表面426和心軸層408的頂表面428移除間隔物層424的部分,僅留下在心軸層408的側壁430上的間隔物層424的部分,如第4B圖所示。該過載蝕刻製程可以是任何合適的蝕刻製程,諸如在處理腔室(諸如可從加利福尼亞州聖克拉拉市的應用材料公司獲得的CENTRIS ®SYM3™處理腔室)中的乾法電漿蝕刻製程。由於在用於移除間隔物層424的部分的蝕刻製程中底層406的低蝕刻速率,當間隔物層424被圖案化時,底層406保持未受損傷(例如,在底層406中沒有形成凹陷)。
在間隔物層424由氮化矽(Si 3N 4)形成的實施例中,藉由在處理腔室中同時供應含氟蝕刻氣體、含氧氣體和惰性氣體(諸如氦(He)、氮(N 2)、氬(Ar)或氫(H 2))來執行方塊304中的蝕刻製程。含氟蝕刻氣體的合適實例包括CH 3F、NF3、HF、CF 4和SF 6。含氧氣體的合適實例包括O 2、NO 2、N 2O、O 3、SO 2、COS、CO和CO 2。在一個特定實例中,含氟蝕刻氣體包括CH 3F,含氧氣體包括O 2,惰性氣體包括氦(He)。在一個實例中,O 2和CH 3F氣體可以分別以介於約5 sccm與約200 sccm之間(例如約20 sccm)和介於約5 sccm與約200 sccm之間(例如約50 sccm)的流率供應。惰性氣體氦(He)可以介於10 sccm與約1000 sccm之間,例如約200 sccm的流率供應。執行乾法電漿蝕刻製程達介於約5秒與約350秒之間,例如約90秒的持續時間。在一個示例性實施例中,處理腔室中的處理壓力被調節在約5毫托與約150毫托之間,例如約60毫托。
在間隔物層424由摻雜的含矽材料形成的實施例中,藉由在處理腔室中同時供應含氯蝕刻氣體、鈍化氣體和惰性氣體(諸如氬氣(Ar)、氮氣(N 2)、氦氣(He)或氫氣(H 2))來執行方塊304中的蝕刻製程。含氯蝕刻氣體的合適實例包括Cl 2和BCl 3。含氯氣體可包括含矽化合物,諸如SiCl 4、SiHCl 3、SiH 2Cl 2、SiH 3Cl、Si 2Cl 6、SiBr 4、SiHBr 3、SiH 2Br 2、SiH 3Br、SiH 4、Si 2H 6、Si 3H 8、Si 4H 10、SiHI 2、SiH 2I、C 4H 12Si和Si(C2H 3O 2) 4。鈍化氣體的合適實例包括HBr、BCl 3、SF 6和H 2S。在一個特定實例中,含氯蝕刻氣體包括Cl 2,鈍化氣體包括HBr,惰性氣體包括氬氣(Ar)和氮氣(N 2)。在一個實例中,HBr和Cl 2氣體可以分別以介於約10 sccm與約1000 sccm之間(例如約200 sccm)和介於約10 sccm與約1000 sccm之間(例如約100 sccm)的流率供應。惰性氣體氬氣(Ar)和氮氣(N 2)可以分別以介於約10 sccm與約1000 sccm之間(例如約100 sccm)和介於約5 sccm與約500 sccm之間(例如約20 sccm)的流率供應。執行乾法電漿蝕刻製程達介於約5秒與約300秒之間,例如約35秒的持續時間。在一個示例性實施例中,處理腔室中的處理壓力被調節在約3毫托與約150毫托之間,例如約7毫托。
在間隔物層424由氧化矽(SiO 2)形成的實施例中,藉在處理腔室中供應含氟蝕刻氣體來執行方塊304中的蝕刻製程。含氟蝕刻氣體的合適實例包括CF 4。在一個實例中,CF 4氣體可以介於約5 sccm與約600 sccm之間,例如約200 sccm的流率供應。執行乾法電漿蝕刻製程達介於約5秒與約300秒之間,例如約15秒的持續時間。在一個示例性實施例中,處理腔室中的處理壓力被調節在約3毫托與約150毫托之間,例如約4毫托。
在方塊306中,藉由在處理腔室(諸如可從加利福尼亞州聖克拉拉市的應用材料公司獲得的CENTRIS ®SYM3 處理腔室)中的乾法電漿蝕刻製程,執行如第4D圖所示的用於移除心軸層408的第二蝕刻製程。在方塊306中的第二蝕刻製程中,由諸如氧化鋁(Al 2O 3)、氧化錫(SnO 2)、硼(B)或碳化鎢(WC)的第一類型的材料、諸如氧化鋁(Al 2O 3)的第二類型的材料或諸如氧化鋁(Al 2O 3)、氧化錫(SnO 2)、硼(B)或氮化矽(Si 3N 4)的第三類型的材料形成的底層406的蝕刻速率類似於或低於由諸如介電抗反射塗層(Dielectric Anti-Reflection Coating, DARC) 193膜的習知遮罩材料形成的底層的蝕刻速率。
藉由在處理腔室中同時供應含氧氣體和惰性氣體(諸如氬氣(Ar)、氮氣(N 2)、氦氣(He)或氫氣(H 2))來執行方塊306中的乾法電漿蝕刻製程。含氧氣體的合適實例包括O 2、NO 2、N 2O、O 3、SO 2、COS、CO和CO 2。在一個特定實例中,含氧氣體包括O 2,並且惰性氣體包括氬氣(Ar)。
在方塊306中的乾法電漿蝕刻製程期間,亦可以調節幾個製程參數。在一個實例中,O 2氣體可以介於約5 sccm與約200 sccm之間,例如約300 sccm的流率供應。惰性氣體氬氣(Ar)可以介於10 sccm與約1000 sccm之間,例如約100 sccm的流率供應。執行乾法電漿蝕刻製程達介於約10秒與約200秒之間,例如約60秒的持續時間。在一個示例性實施例中,處理腔室中的處理壓力被調節在約5毫托與約150毫托之間,例如約45毫托。
在本文所述的實施例中,提供了用於底層的材料以及使用此類底層形成結構的方法,該底層在用於移除形成在底層上的層的部分的蝕刻製程中具有顯著較低的蝕刻速率。待蝕刻的層可以由含碳材料、氮化矽、摻雜的含矽材料、或氧化矽形成。底層可以由氧化鋁(Al 2O 3)、氧化錫(SnO 2)、碳化鎢(WC)、硼(B)或氮化矽(Si 3N 4)形成。由於底層的蝕刻速率顯著較低,所以可能由於過度蝕刻而在底層中形成的凹陷顯著減少,從而導致所得半導體裝置中的缺陷減少。在一些實施例中,在包括沉積腔室(諸如化學氣相沉積腔室100)和處理腔室(諸如處理腔室200)的處理系統中,在不破壞低壓或真空環境的情況下執行方塊302中的沉積製程和方塊304中的第一蝕刻製程。不破壞低壓或真空環境的製程可以減少由於大氣環境中引入的濕氣造成的污染,並進一步減少所形成的半導體裝置中的缺陷。
儘管前面針對本揭示案的實施例,但是在不脫離本揭示案的基本範疇的情況下可以設計本揭示案的其他和進一步實施例,並且本揭示案的範疇由所附申請專利範圍確定。
100:化學氣相沉積腔室 101:遠程電漿系統(RPS) 102:第一氣體供應通道 104:第二氣體供應通道 105:氣體入口組件 106:擋板 112:蓋 114:孔隙 115:第一電漿區域 120:絕緣環 125:噴頭 133:第二電漿區域 193:介電抗反射塗層(DARC) 200:處理腔室 202:腔室主體 203:基板 204:蓋 206:內部體積 208:側壁 210:底部 214:內表面 218:內襯 226:排出埠 228:真空泵系統 230:噴頭組件 232':入口埠 232'':入口埠 234:內部區域 236:外部區域 238:光學透射區域/通道 240:光學監測系統 241:匹配網路 242:窗 243:RF源功率 248:基板支撐基座組件 250:控制器 252:中央處理單元(CPU) 254:記憶體 256:支援電路 258:氣體面板 262:安裝板 264:基座 266:靜電卡盤 268:導管 270:導管 272:流體源 274:嵌入式隔離器 276:嵌入式加熱器 277:遠程電漿源 278:電源 280:夾持電極 282:卡盤電源 284:RF偏置電源 286:RF偏置電源 288:匹配電路 289:額外的偏置電源 290:溫度感測器 292:溫度感測器 300:方法 302:方塊 304:方塊 306:方塊 400:奈米結構 402:基板 404:介面層 406:底層 408:心軸層 422:開口 424:間隔物層 426:暴露表面 428:頂表面 430:側壁
為了能夠詳細理解本揭示案的實施例的上述特徵,可以參考實施例對以上簡要概述的本揭露案進行更特別的描述,實施例中的一些實施例在附圖中圖示。然而,應當注意的是,附圖僅圖示了本揭示案的典型實施例,因此不應被認為是對其範疇的限制,因為本揭示案可以允許其他同等有效的實施例。
第1圖描繪了根據一個實施例的可用於執行沉積製程的處理腔室。
第2圖描繪了根據一個實施例的可用於執行圖案化製程的處理腔室。
第3圖是根據一個實施例的用於製造奈米結構400的方法300的流程圖。
第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖和第4G圖是根據一個實施例的奈米結構的一部分的剖視圖。
為了促進理解,在可能的情況下,使用相同的附圖標記來表示附圖中共用的元件。設想在一個實施例中揭示的元件可以有益地用於其他實施例,而無需特定敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
400:奈米結構
402:基板
404:介面層
406:底層
408:心軸層
424:間隔物層
426:暴露表面
428:頂表面
430:側壁

Claims (20)

  1. 一種結構,包括: 一底層,該底層形成在一基板上,該底層包含一第一材料; 一心軸層,該心軸層形成在該底層上;以及 一間隔物層,該間隔物層形成在該心軸層上,該間隔物層包含一第二材料,其中 該第一材料耐受一第一蝕刻製程中使用以移除該間隔物層的部分的蝕刻氣體,並耐受一第二蝕刻製程中使用以移除該心軸層的蝕刻氣體。
  2. 如請求項1所述之結構,其中 該第二材料包括氮化矽,並且 該第一蝕刻製程包括使用一含氟蝕刻氣體的一蝕刻製程。
  3. 如請求項2所述之結構,其中 該第一材料包括氧化鋁、氧化錫、硼或碳化鎢中的至少一者。
  4. 如請求項1所述之結構,其中 該第二材料包括摻雜的含矽材料,並且 該第一蝕刻製程包括使用一含氯蝕刻氣體的一蝕刻製程。
  5. 如請求項4所述之結構,其中 該第一材料包括氧化鋁。
  6. 如請求項1所述之結構,其中 該第二材料包括氧化矽,並且 該第一蝕刻製程包括使用一含氟蝕刻氣體的一蝕刻製程。
  7. 如請求項6所述之結構,其中 該第一材料包括氧化鋁、氧化錫、硼或氮化矽中的至少一者。
  8. 如請求項1所述之結構,其中 該心軸層包括含碳材料,並且 該第二蝕刻製程包括使用一含氧蝕刻氣體的一蝕刻製程。
  9. 一種用於在形成一結構中使用的底層,包含: 一第一材料,該第一材料形成在一基板上,其中該第一材料耐受一第一蝕刻製程中使用以移除形成在該第一材料上的一第二材料的部分的的蝕刻氣體。
  10. 如請求項9所述之底層,其中 該第二材料包括氮化矽, 該第一材料包括氧化鋁、氧化錫、硼和碳化鎢中的至少一者,並且 該第一蝕刻製程包括使用一含氟蝕刻氣體的一蝕刻製程。
  11. 如請求項9所述之底層,其中 該第二材料包括摻雜的含矽材料, 該第一材料包括氧化鋁,並且 該第一蝕刻製程包括使用一含氯蝕刻氣體的一蝕刻製程。
  12. 如請求項9所述之底層,其中 該第二材料包括氧化矽, 該第一材料包括氧化鋁、氧化錫、硼或氮化矽中的至少一者,並且 該第一蝕刻製程包括使用一含氟蝕刻氣體的一蝕刻製程。
  13. 一種用於在一基板上形成一結構的方法,該方法包括以下步驟: 執行一沉積製程,包括以下步驟:在一芯軸層和一底層的從該芯軸層暴露的一表面上保形沉積一間隔物層;以及 執行一第一蝕刻製程,包括從該心軸層的該頂表面和該底層的該表面移除該間隔物層的部分,而不從該心軸層的側壁移除該間隔物層, 其中該底層耐受該第一蝕刻製程中使用的蝕刻氣體。
  14. 如請求項13所述之方法,其中 該間隔物層包含氮化矽,並且 該第一蝕刻製程包括使用一含氟蝕刻氣體的一蝕刻製程。
  15. 如請求項14所述之方法,其中 該底層包含氧化鋁、氧化錫、硼或碳化鎢中的至少一者。
  16. 如請求項13所述之方法,其中 該間隔物層包括摻雜的含矽材料,並且該第一蝕刻製程包括使用一含氯蝕刻氣體的一蝕刻製程。
  17. 如請求項16所述之方法,其中 該底層包含氧化鋁。
  18. 如請求項13所述之方法,其中 該間隔物層包含氧化矽, 該第一蝕刻製程包括使用一含氟蝕刻氣體的一蝕刻製程,並且 該底層包含氧化鋁、氧化錫、硼或氮化矽中的至少一者。
  19. 如請求項13所述之方法,進一步包括以下步驟: 執行一第二蝕刻製程,包括移除該心軸層而不移除該間隔物層,其中 該底層耐受該第二蝕刻製程中使用的蝕刻氣體, 該心軸層包含含碳材料,並且 該第二蝕刻製程包括使用一含氧氣體的一蝕刻製程。
  20. 如請求項13所述之方法,其中在該底層中沒有形成凹陷。
TW110144346A 2020-12-10 2021-11-29 用於半導體裝置形成之底層膜 TW202236508A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063123882P 2020-12-10 2020-12-10
US63/123,882 2020-12-10
US17/157,548 2021-01-25
US17/157,548 US20220189771A1 (en) 2020-12-10 2021-01-25 Underlayer film for semiconductor device formation

Publications (1)

Publication Number Publication Date
TW202236508A true TW202236508A (zh) 2022-09-16

Family

ID=81941655

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110144346A TW202236508A (zh) 2020-12-10 2021-11-29 用於半導體裝置形成之底層膜

Country Status (6)

Country Link
US (1) US20220189771A1 (zh)
JP (1) JP2023553273A (zh)
KR (1) KR20220082760A (zh)
CN (1) CN116670802A (zh)
TW (1) TW202236508A (zh)
WO (1) WO2022125268A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220005694A1 (en) * 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7709275B2 (en) * 2008-04-10 2010-05-04 United Microelectronics Corp. Method of forming a pattern for a semiconductor device and method of forming the related MOS transistor
US8637406B1 (en) * 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
WO2018052760A1 (en) * 2016-09-13 2018-03-22 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US10510540B2 (en) * 2017-07-15 2019-12-17 Micromaterials Llc Mask scheme for cut pattern flow with enlarged EPE window
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes

Also Published As

Publication number Publication date
KR20220082760A (ko) 2022-06-17
CN116670802A (zh) 2023-08-29
WO2022125268A1 (en) 2022-06-16
JP2023553273A (ja) 2023-12-21
US20220189771A1 (en) 2022-06-16

Similar Documents

Publication Publication Date Title
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
TWI828989B (zh) 半導體裝置製造中之氧化錫膜
TWI708322B (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
CN101416293B (zh) 用于介电膜层的阶梯覆盖与图案加载
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
JP7357688B2 (ja) 多重スペーサパターニングのスキーム
TW201840463A (zh) 用於進階圖案化之軟著陸奈米層
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
TW202115787A (zh) 交替蝕刻與鈍化製程
US20170207069A1 (en) Rps defect reduction by cyclic clean induced rps cooling
US7344996B1 (en) Helium-based etch process in deposition-etch-deposition gap fill
TWI716818B (zh) 形成氣隙的系統及方法
TW202236508A (zh) 用於半導體裝置形成之底層膜
US20230272525A1 (en) Method of in situ ceramic coating deposition
CN115803474A (zh) 具有受控膜性质和高沉积速率的保形热cvd
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
CN108292594A (zh) 用于多层图案化应用的低温单一前驱物arc硬掩模
US20230066543A1 (en) Fully self aligned via integration processes
TW202301438A (zh) 具有平坦頂部輪廓的間隔物圖案化製程
US11881402B2 (en) Self aligned multiple patterning
CN118039440A (zh) 具有平坦顶部轮廓的间隔物图案化工艺