TW202115787A - 交替蝕刻與鈍化製程 - Google Patents

交替蝕刻與鈍化製程 Download PDF

Info

Publication number
TW202115787A
TW202115787A TW109121292A TW109121292A TW202115787A TW 202115787 A TW202115787 A TW 202115787A TW 109121292 A TW109121292 A TW 109121292A TW 109121292 A TW109121292 A TW 109121292A TW 202115787 A TW202115787 A TW 202115787A
Authority
TW
Taiwan
Prior art keywords
etching
tin oxide
semiconductor substrate
silicon
processing
Prior art date
Application number
TW109121292A
Other languages
English (en)
Inventor
許盛竣
正義 游
梁振偉
艾倫 J 詹森
暹華 陳
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202115787A publication Critical patent/TW202115787A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

在半導體裝置製造中使用錫氧化物薄膜作為間隙壁及硬遮罩。在一方法中,需要在受到暴露之含矽層如SiOC、SiON、SiONC、非晶矽、SiC、或SiN存在時選擇性地蝕刻錫氧化物層(如間隙壁底腳)。為了減少對含矽層的損傷,製程涉及使含矽層對錫氧化物蝕刻化學品鈍化、蝕刻錫氧化物、及以交替方式重覆鈍化與蝕刻。例如,鈍化與蝕刻之每一者進行介於2-50次之間的次數。在一實施例中,藉著以在電漿中受到活化的含氧反應物處理基板而進行鈍化,錫氧化物蝕刻係以基於氯的化學品(如使用Cl2 與BCl3 的混合物)加以進行。

Description

交替蝕刻與鈍化製程
本發明係關於半導體裝置製造方法。尤其,本發明之實施例係關於在半導體處理中使用錫氧化物薄膜的方法。
在積體電路(IC)製造中,沉積與蝕刻技術係用以形成材料圖案如形成嵌於介電層中的金屬線。某些圖案化方案涉及使用能精準圖案化及形成小規格特徵部的間隙壁。間隙壁係形成於基板上,俾使其被定義的距離(通常由先前圖案化所決定)所分離且用來作為圖案化下層的遮罩。間隙壁及其圍繞層的材料被選擇為具有適當的蝕刻選擇比,適當的蝕刻選擇比能致使形成間隙壁及圖案化下層。在圖案化完成後,以蝕刻移除間隙壁並使間隙壁不再是最終製造完成之半導體裝置的一部分。
間隙壁係於各種應用中用於圖案化,包含形成動態隨機存取記憶體(DRAM)、圖案化鰭式場效電晶體(FinFET)中的鰭、及用於後段製程(BEOL)。
文中所提供的背景說明的目的僅在於大致上呈現發明之背景。吾人並未明示亦未暗示在此背景部分說明之目前列名發明人的成果以及在申請時無法適格作為先前技藝的說明態樣為本發明的前案。
在一態樣中,提供一種半導體基板的處理方法,該方法包含:(a)提供一半導體基板,該半導體基板具有一含矽層上方的複數突出特徵部,其中該半導體基板包含一受到暴露之錫氧化物及該含矽層之一受到暴露之含矽材料;(b)使該受到暴露之含矽材料對一錫氧化物蝕刻化學品鈍化;(c)使用該錫氧化物蝕刻化學品蝕刻該受到暴露之錫氧化物;及(d)重覆操作(b)與(c),俾以交替方式進行操作(b)與(c)。利用此方法,可在不損傷含矽層的情況下減少靠近突出特徵部的錫氧化物底腳。
在某些實施例中,該含矽材料為下列者中的至少一者:非晶矽、矽氧化物、SiON、SiOC、SiONC、SiC、及SiN。在一實施例中,在(c)中蝕刻該錫氧化物包含使用基於氯的蝕刻化學品並將該半導體基板暴露至選自於由Cl2 、BCl3 、及其組合所構成之族群之一經電漿活化的含氯反應物。在某些實施例中,電漿係於一處理氣體與一稀釋氣體中形成,該處理氣體包含選自由Cl2 、BCl3 、及其組合所構成之族群的一含氯反應物,該稀釋氣體係選自由氦、氖、氬、氙、及其組合所構成之族群。
在一實施例中,在(c)中蝕刻該錫氧化物包含利用基於氫的蝕刻化學品蝕刻該錫氧化物,該基於氫的蝕刻化學品導致形成一錫的氫化物。例如,利用該基於氫的蝕刻化學品蝕刻該錫氧化物可藉著使該半導體基板與一經電漿活化之含氫反應物接觸而加以進行,經電漿活化之含氫反應物係選自由H2 、HBr、NH3 、H2 O、碳氫化合物、及其組合所構成的族群。
在某些實施例中,該含矽層之該鈍化係藉著利用一含氧反應物處理該基板而加以進行。例如,可藉著利用一經電漿活化之含氧反應物處理該基板而鈍化該含矽層。在特定的實施例中,在該處理氣體中形成的電漿包含選自由O2 、O3 、SO2 、及CO2 所構成之族群的一氣體。
在某些實施例中, 鈍化步驟(b)係於蝕刻步驟(c)之前進行。在某些實施例中,蝕刻步驟(c)係於鈍化步驟(b)之前進行。在某些實施例中,步驟(b)與(c)係進行介於2–50次之間的次數。
在某些實施例中,該複數突出特徵部包含複數水平表面及複數側壁且該方法減少該突出特徵部之該複數側壁處的一錫氧化物底腳。
在一實施例中,該含矽材料係選自由非晶矽、矽氧化物、SiON、SiOC、SiONC、SiC、及SiN所構成的族群,(b)中的該鈍化包含以一經電漿活化之含氧反應物處理該基板,(c)中的蝕刻包含利用一基於氯的蝕刻化學品蝕刻該錫氧化物且蝕刻該錫氧化物包含將該半導體基板暴露至一經電漿活化之含氯反應物,該經電漿活化之含氯反應物係選自由Cl2 、BCl3 、及其組合所構成的族群。
在某些實施例中,(b)中的鈍化包含以一含氮反應物處理該半導體基板。
在某些實施例中,該方法更包含:將一光阻施加至該半導體基板;將該光阻暴露至光;圖案化該光阻並將圖案移轉至該半導體基板;及自該半導體基板選擇性地移除該光阻。
在另一態樣中,提供一種半導體基板之處理設備,該設備包含:(a)一處理室,具有該半導體基板用的一支撐件及用以將一或多種反應物導入至該處理室中的一入口;及(b)一控制器,包含用於下列者的複數程式指令:(i)使該半導體基板上的一含矽層對一錫氧化物蝕刻化學品鈍化;(ii)使該半導體基板上的一錫氧化物受到蝕刻;及(iii)以交替方式重覆(i)與(ii)。在某些實施例中(i)包含利用一經電漿活化之含氧反應物(如經電漿活化之O2 )處理該半導體基板。在某些實施例中(ii)包含利用一基於氯的化學品蝕刻該錫氧化物。在某些實施例中(ii)包含利用一基於氫的化學品蝕刻錫氧化物。在某些實施例中,該複數程式指令包含用於以交替方式進行(i)與(ii)介於2–50 次之次數的複數指令。
在另一態樣中,提供一種設備,其中該設備包含一處理室及一控制器,該控制器具有用以使文中所提供之任何方法進行的複數程式指令。
在另一態樣中,提供一種非瞬變電腦可讀媒體,其包含用以進行文中所述之任何方法的程式碼。
在下面的說明及附圖中列舉此說明中所述之標的之實施例的此些與其他態樣。
提供在半導體裝置製造中使用錫氧化物薄膜的方法。此些方法使用複數蝕刻速率與選擇比可調整之蝕刻製程,使錫氧化物薄膜能整合至使用各種廣泛材料的製程方案中,此些材料例如是含矽化合物(如氧化矽(SiO2 )、碳化矽(SiC)、氮化矽(SiN)、碳氧化矽(SiOC)、氮氧化矽(SiNO)、碳氮氧化矽(SiCNO)、及碳氮化矽(SiCN)、元素矽(Si)、碳(包含非晶碳 與類鑽石之碳)、光阻、含碳化合物(如有機聚合物、金屬碳化物、含鎢之碳)、金屬(如鎢)、金屬氧化物(如氧化鈦、氧化鉿、氧化鋯、氧化鉭)、及金屬氮化物(如氮化鉭(TaN)與氮化鈦(TiN))。在某些實施例中,在任何此些材料存在的情況下以至少約10:1如至少約20:1的蝕刻選擇比蝕刻錫氧化物。在某些實施例中,在錫氧化物存在的情況下以至少約10:1如至少約20:1的蝕刻選擇比蝕刻任何此些材料。
在某些實施例中,錫氧化物係用於圖案化處理,其中錫氧化物薄膜為間隙壁、或硬遮罩(如位於光阻下方及目標層上方的中間層)。例如,錫氧化物可為自我對準間隙壁所協助之圖案化中的間隙壁、自我對準雙圖案化(SADP)或自我對準四圖案化(SAQP)中的中間層、接觸孔之硬遮罩、極致UV(EUV)之碳遮罩、反相遮罩、或後段製程(BEOL)之硬遮罩。協同選擇性蝕刻製程,錫氧化物能達到此些應用所期望的嚴格關鍵尺寸(CD)/輪廓及選擇比需求。蝕刻製程可在能進行電漿蝕刻的各種工具上進行如科林研發公司(Lam Research Corporation)所提供之Kiyo® 及FlexTM 蝕刻工具。
可利用能將錫氧化物轉變為揮發性之錫的氫化物產物(如四氫化錫)之基於氫的蝕刻,選擇性地蝕刻錫氧化物對廣泛的各類材料。文中所用之「錫的氫化物」一詞包含複數錫的氫化物(具有錫-氫鍵的化合物)且其不僅限於四氫化錫(SnH4 ))。類似地,「錫的氯化物」及「矽的氟化物」等詞可包含複數氯化物及氟化物。不若許多其他金屬的氫化物,四氫化錫具有低沸點,因此可藉由吹淨及/或排放而自處理室輕易移除,藉此使基於氫的蝕刻為選擇性錫氧化物蝕刻的尤其較佳處理。
文中所用之錫氧化物一詞係指包含錫(Sn)與氧(O)的材料且可選擇性地包含氫。文中所用的錫氧化物一詞可更包含少量的其他元素如碳、氮,但其他元件的總量為10原子%或更低(其中氫並未被包含於含量計算中)。例如,以ALD沉積之錫氧化物可包含約0.5–5原子%的碳。例如,可藉由ALD、PECVD、或PVD沉積錫氧化物。錫氧化物的化學計量比通常可變化。在某些實施例中,錫對氧的原子比約為1:2(SnO2 )。應瞭解,在SnO2 中可有自1:2錫氧比的偏差且仍落在SnO2 結構的範疇內。例如,在某些SnO2 的實例中,O對Sn的原子比係介於約2.0–2.3之間。在某些O對Sn的原子比係介於約1.5–2.5之間的錫氧化物實例中,其仍落在文中所用之SnO2 材料的範疇內。文中所述的錫氧化物材料係不同於氧化銦錫材料及其他混合氧化物。
應瞭解,在文中所用的其他化學化合物中,除非特別指出,否則化學計量比可能會變化。例如,化學式如SiN及HfO指出存在的元素但並非指出化學計量比。又,應瞭解,文中所述的材料可包含氫(即便未在化學式中指明)及在化學名中未明確列出的少量摻質(如少於10原子%的摻質)。
文中所用之「半導體基板」一詞係指在半導體裝置製造之任何階段處在其結構內任何處包含半導體的基板。應瞭解,半導體基板中的半導體材料毋須被暴露。具有複數其他材料(如介電材料)覆蓋半導體材料之半導體晶圓為半導體基板的實例。下面的詳細說明假設所揭露之實施例係於晶圓上實施。然而,所揭露之實施例不限於此。工作件可為任何形狀、尺寸、及材料。除了半導體晶圓外,可受惠於所揭露之實施例的其他工作件包含各種物件如印刷電路板等。
在某些實施例中,使用所提供的選擇性蝕刻化學品移除基板上之特徵部的某些材料但不移除特徵部的其他材料。如文中所用,當材料或特徵部至少90%(如100%)被移除(係指垂直方向的厚度)時,蝕刻化學品「移除」材料或特徵部 。文中所用之「不移除」一詞係指在蝕刻後至少50 % 的材料或特徵部(如至少80%)留下來,其中%係指垂直方向的厚度。
在某些實施例中,使用所提供的方法自水平表面移除材料但不移除位於突出特徵部之側壁處的材料。應瞭解,文中所用之水平表面包含具有自水平表面局部偏移的表面如突出特徵部之上部上的凸形覆層。
已針對其他材料存在時選擇性蝕刻錫氧化物以及錫氧化物存在時選擇性蝕刻其他材料發展出各種蝕刻化學品。其他材料存在時錫氧化物之選擇性蝕刻係指錫氧化物蝕刻,其中錫氧化物之蝕刻速率對其他材料之蝕刻速率的比值係大於1,其中在蝕刻處理的任何時間處其他材料如同錫氧化物係暴露至相同的蝕刻化學品。例如,當蝕刻開始時材料受到暴露,或者在蝕刻期間材料變得受到暴露。其他材料存在時錫氧化物之選擇性蝕刻的蝕刻選擇比係指在特定化學品下錫氧化物之蝕刻速率對其他材料之蝕刻速率的比值。例如,可利用具有大於50之蝕刻選擇比之基於氫的蝕刻化學品,在含矽化合物存在時選擇性蝕刻錫氧化物。
類似地,錫氧化物存在時其他材料之選擇性蝕刻係指該材料的此類蝕刻,其中該材料之蝕刻速率對氧化之蝕刻速率的比值係大於1,其中在蝕刻處理的任何時間處錫氧化物如同受到蝕刻的材料係暴露至相同的蝕刻化學品。例如,當蝕刻開始時錫氧化物受到暴露,或者在蝕刻期間錫氧化物變得受到暴露。錫氧化物存在時一材料之選擇性蝕刻的蝕刻選擇比係指 在特定化學品下該材料之蝕刻速率對錫氧化物之蝕刻速率的比值。例如,可利用具有大於50之蝕刻選擇比之基於氧的蝕刻化學品,在錫氧化物存在時選擇性蝕刻碳。
在某些實施例中,提供半導體基板,其中半導體基板包含經暴露之錫氧化物層及第二材料之膜層,其中該第二材料可受到暴露或未受到暴露。接下來,利用文中所述之選擇性錫氧化物蝕刻化學品中的一者在第二材料存在時選擇性地蝕刻錫氧化物。例如,可利用基於氫的化學品及/或基於氯的化學品選擇性蝕刻錫氧化物。第二材料可在此蝕刻之前受到暴露,或者可在錫氧化物蝕刻期間變得受到暴露。
在某些實施例中,提供半導體基板,其中半導體基板包含受到暴露之第一材料的膜層及錫氧化物膜層,其中錫氧化物可受到暴露或未受到暴露。接下來,利用文中所述之選擇性化學品中的一者在錫氧化物存在時選擇性地蝕刻第一材料。錫氧化物可在此蝕刻之前受到暴露,或者可在蝕刻期間變得受到暴露。
基於氫的蝕刻 。 在某些實施例中,利用基於氫的蝕刻進行選擇性的錫氧化物蝕刻。基於氫的蝕刻涉及將錫氧化物暴露至含氫反應物(通常具有反應物的電漿活化)俾使其將錫氧化物轉變為揮發性之錫的氫化物。SnH4 具有-52 °C的沸點溫度且可自處理室輕易移除。含氫反應物的實例包含H2 、HBr、NH3 、H2 O、及碳氫化合物(如CH4 )。亦可使用含氫反應物的混合物。基於氫的蝕刻涉及在包含含氫反應物及選擇性之惰性氣體的處理氣體中形成電漿,並以形成的電漿接觸基板。惰性氣體的實例包含氮(N2 )、氦(He)、氬(Ar)、氖 (Ne)、及氙 (Xe)。在某些實施例中H2 為較佳的含氫反應物,且在某些實施例中較佳地在包含至少50體積%如至少80體積%之H2 的氣體中形成電漿。在其他實施例中,使用HBr作為含氫反應物。例如,可利用基本上由HBr與惰性氣體所構成的處理氣體(如HBr、N2 、及氬)中所形成的電漿選擇性蝕刻錫氧化物。基於氫的蝕刻通常利用不具有含氧與含氟物種的處理氣體進行。在某些實施例中,處理氣體基本上係由一或多種含氫反應物及選擇性的惰性氣體所構成。
基於氫的蝕刻可在下列材料存在時選擇性移除錫氧化物:含矽化合物如SiO2 、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋塗玻璃;金屬氧化物如氧化鈦、氧化鎢、及氧化鋯;金屬氮化物如氮化鈦及氮化鉭;金屬如鎢;光阻及有機聚合物。又,可使用基於氫的蝕刻在覆蓋矽之矽氧化物存在時選擇性地蝕刻錫氧化物。當矽被暴露至大氣時,矽氧化物通常會形成在矽表面上。亦可使用基於氫的蝕刻在元素矽(如非晶矽)與碳存在時選擇性地蝕刻錫氧化物。又,可使用基於氫的蝕刻在金屬碳化物及包含金屬與碳之材料存在時選擇性地蝕刻錫氧化物。例如,可使用基於氫的蝕刻在碳化鎢材料(亦被稱為摻雜鎢之碳)存在時選擇性地蝕刻錫氧化物。在某些實施例中,碳化鎢材料包含介於約20–60原子%的鎢。
在某些實施例中,提供半導體基板,其中半導體基板包含經暴露之錫氧化物層及此些材料的膜層。接下來,在此些材料存在時選擇性地蝕刻錫氧化物。此些材料可在此蝕刻之前受到暴露,或者可在錫氧化物蝕刻期間變得受到暴露。
在某些實施例中,基於氫的蝕刻的蝕刻選擇比係大於10如大於30、如大於50、或大於80。蝕刻選擇比係指在選定的處理條件下錫氧化物之蝕刻速率對其他材料之蝕刻速率的比值。在某些實例中,利用H2 電漿相對於SiO2 蝕刻錫氧化物可達到蝕刻選擇比100。
使用氫電漿(係指在含氫反應物中所形成的電漿)之錫氧化物蝕刻方法可在廣泛範圍的處理條件下於各種設備中進行。在一實施例中,該方法涉及:提供半導體基板,基板具有暴露至蝕刻室的錫氧化物膜層;及使基板與在包含H2 (或另一含氫氣體)及選擇性之載氣如氦或其他惰性氣體之處理氣體中所形成的電漿接觸。「蝕刻室」或「蝕刻設備」等詞係指用以進行蝕刻的腔室及設備。在某些實施例中,「蝕刻室」或「蝕刻設備」係專門用於蝕刻操作。在其他實施例中,「蝕刻室」或「蝕刻設備」除了蝕刻外可用以進行其他操作如沉積。例如,在某些實施例中,蝕刻室亦可用於ALD沉積。
在某些實施例中,在氫電漿蝕刻中所用的電漿係於容納半導體基板的相同處理室中產生。在其他實施例中,電漿係自遠端產生然後經由處理室中的一或多個入口而被導入容納基板的處理室中。
控制蝕刻俾以將錫氧化物轉變為揮發性的錫的氫化物。在一實施例中,處理氣體中的H2 含量至少為50體積%如至少為80體積%(可上至且包含100%)。在某些實施例中,處理氣體更可包含碳氫化合物如CH4 。在某些實施例中,處理氣體更包含Cl2 。例如,處理氣體可基本上由H2 及惰性氣體(如He)所構成,或處理氣體可基本上由H2 、惰性氣體及碳氫化合物(如CH4 )所構成。在接近基板量測到之低於約100 °C的溫度下進行蝕刻。蝕刻反應能有利地僅產生揮發性材料如SnH4 且SnH4 可輕易地藉由蒸發及/或吹淨自蝕刻處理室移除。較佳地選擇低於約100 °C的蝕刻處理溫度,因為較高的溫度可能會導致已形成之SnH4 的分解而形成可能會污染處理室及基板的粒子。選擇處理氣體的組成及處理條件,俾以在蝕刻期間減少或消除粒子形成。重要的是,蝕刻反應不需要任何明顯的濺射分量,且可在缺乏基板處之外部偏壓及缺乏重離子(如氬離子)的情況下進行。減少濺射分量對於增加相對於基板上之第二材料之蝕刻選擇比而言是有利的。是以在某些實施例中,在不對基板提供外部偏壓的情況下進行蝕刻及/或蝕刻涉及使用氦(輕的氣體)作為載氣,以減少濺射。
氫電漿蝕刻用的電漿可利用各種頻率(低與高)產生。適合之頻率的實例包含400KHz、2MHz、13.56MHz、27MHz、或2.45GHz。在某些實施例中,電漿生成所用的功率範圍可自約50 W至1,000 W,此係對應至介於約0.0018至0.36 W/cm2 的功率密度。基板處的偏壓為選擇性的且偏壓功率的範圍可自約0至500 W。每噴淋頭的適合氣體流率(針對處理一片300 mm晶圓)為:
H2 :25至750sccm;
Cl2 :0至500sccm (如5–200 sccm);
He:0至500sccm (如5–100 sccm);及
CH4 :0至500sccm (如5–100 sccm)。
在某些實施例中,可在介於約1至175 mTorr的壓力下進行蝕刻處理。
在某些特定的實施例中,利用高頻率生成(如13.56 MHz或27 MHz)產生電漿,其係使用介於約200至500W的電漿功率所提供,對應至0.07至0.18 W/cm2 之功率密度。基板處之偏壓用的功率係介於約0至200 W之間。每噴淋頭的適合氣體流率(針對處理一片300 mm晶圓)為:
H2 :100至300sccm;
Cl2 :0至200sccm (如5–100 sccm);
He:0至100sccm (如5–50 sccm);及
CH4 :0至100sccm (如5–50 sccm)。
在此些實施例中的蝕刻處理係於介於約1至30 mTorr之壓力下進行。
本發明之發明人發現,基於氫的蝕刻的選擇比可利用處理氣體中之含碳反應物大幅增加,蝕刻期間含碳反應物在基板的表面上形成含碳 聚合物(如CHx 聚合物)。在某些實施例中,此實施例中所用的處理氣體 包含H2 及碳氫化合物(如甲烷(CH4 ))。處理氣體通常亦包含惰性氣體。在某些實施例中,H2 對碳氫化合物的比例較佳地至少是5如至少是10。在某些實施例中,H2 對碳氫化合物的體積比係介於約5–500之間如介於約10–300之間。在某些實施例中,在另一材料(複數材料)存在的情況下錫氧化物的選擇性蝕刻包含將基板暴露至在包含H2 及碳氫化合物(如CH4 )之處理氣體中所形成的電漿。在一實施例中,以介於約100–500 sccm 的低流率提供H2 並以介於約1–20 sccm之間(如介於約5–10 sccm之間)之流率提供碳氫化合物。可利用介於約100–1,000 W之間如介於約200–500 W之間(針對單一片300 mm晶圓)之電漿功率(對應至介於約0.14–1.3 W/cm2 之間如0.28–0.71 W/cm2 。之間之功率密度)進行處理。在某些實施例中,利用介於約50–500 Vb之間如介於約100–200 Vb之間的基板偏壓進行蝕刻。處理較佳地係於低於約100 °C的溫度下進行。在一特定的實例中,提供下列氣體:100 sccm 的H2 ;5 sccm 的CH4 ;及100 sccm的氦。利用300 W之功率在處理氣體中形成電漿,且在25 %工作週期下使用100Vb的基板偏壓。處理係於30 °C及5 mTorr之壓力下進行。如文中所述在基板上形成含碳聚合物可增加錫氧化物相對於文中所列之任何材料的蝕刻選擇比。當在光阻、碳、含碳材料、及矽(Si)存在時蝕刻錫氧化物時,此效應尤其有用。例如,當在光阻存在時進行蝕刻,蝕刻選擇比可大於100且在某些情況下近乎於無限大。使用此高度選擇的蝕刻能使用較低厚度的光阻以降低微影曝光劑量及/或避免光阻線因精細間距下的高深寬比而倒塌。在所述的方法中,CHx 聚合物保護光阻不受到蝕刻。又,此蝕刻可用以改善光阻層的幾何特徵。在某些實施例中,在錫氧化物層上方存在光阻且錫氧化物下方存在材料的情況下,以此蝕刻選擇性地蝕刻半導體基板上的錫氧化物,其中相對於光阻及錫氧化物下方的材料而言蝕刻選擇比至少為10。在某些實施例中,錫氧化物下方的材料包含下列之一或多者:矽(如非晶矽)、含矽化合物(如SiO2 、SiN、SiC、SiON、SiOC)、碳(如非晶碳)、及含碳化合物(如碳化鎢)。
在某些實施例中,在基於氫的蝕刻中使用HBr作為含氫反應物。在一實施例中,蝕刻方法涉及以100–50 sccm之流率流動HBr並以100-500 sccm的流率流動惰性氣體(如氦),且利用100–500 W(針對一300 mm晶圓)之RF功率(對應至0.14–0.71 W/cm2 之功率密度)在此處理氣體中形成電漿。此蝕刻可在有或無基板偏壓下進行。例如,基板偏壓可介於0–200 Vb之間如50–200 Vb之間。處理可在低於100 °C之溫度及5–50 mTorr之壓力下進行。
基於氯的蝕刻 。 在某些實施例中,利用基於氯的蝕刻進行選擇性的錫氧化物蝕刻。基於氯的蝕刻涉及將錫氧化物暴露至含氯反應物(通常具有反應物的電漿活化)俾以將錫氧化物轉變為氯化錫。SnCl4 具有114 °C之沸點且可自處理室移除。在一實施例中,適合之含氯反應物的實例包含Cl2 、及BCl3 。使用Cl2 與BCl3 的混合物。在一實施例中,基於氯的蝕刻涉及:在包含含氯反應物及選擇性之惰性氣體之處理氣體中形成電漿,並以形成的電漿接觸基板。基於氯的蝕刻可在下列材料存在下選擇性地移除錫氧化物:含矽化合物如SiO2 、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋塗玻璃、碳、及光阻,但針對含矽材料之選擇比係低於基於氫的蝕刻的選擇比。在某些實施例中,提供半導體基板,其中半導體基板 包含受到暴露之錫氧化物層及任何此些材料之膜層。接下來,在此些材料存在時利用基於氯的蝕刻選擇性地蝕刻錫氧化物。此些材料可在此蝕刻之前受到暴露,或者可在錫氧化物蝕刻期間變得受到暴露。在一實施例中,在任何此些材料存在時利用BCl3 /Cl2 蝕刻選擇性地蝕刻錫氧化物。在一實施例中,蝕刻方法涉及:以5–100 sccm之流率流動BCl3 、以50–500 sccm之流率流動Cl2 、並以50–500 sccm的流率流動惰性氣體(如氦),且利用100–500 W(針對一300 mm晶圓)之RF功率(對應至0.14–0.71 W/cm2 之功率密度)在此處理氣體中形成電漿。此蝕刻可在有或無基板偏壓下進行。例如,基板偏壓可介於0–100 Vb之間如10–100 Vb之間。處理可在低於100 °C之溫度及5–50 mTorr之壓力下進行。
在某些實施例中,在錫氧化物存在時使用基於氯的蝕刻選擇性地蝕刻某些金屬氧化物。例如,在錫氧化物存在時使用基於氯的蝕刻選擇性蝕刻氧化鈦。在某些實施例中,提供半導體基板,其中半導體基板包含受到暴露之氧化鈦層及錫氧化物層。接下來,在錫氧化物存在時利用基於氯的蝕刻化學品選擇性蝕刻氧化鈦。錫氧化物可在此蝕刻之前受到暴露,或者可在蝕刻期間變得受到暴露。
基於氟碳化合物之蝕刻 。 在某些實施例中,在錫氧化物存在時利用基於氟碳化合物之蝕刻選擇性蝕刻含矽化合物如SiO2 、SiN、SiC、SiOC、SiCN、SiON、SiCNO、旋塗玻璃。基於氟碳化合物的蝕刻涉及:將含矽之化合物暴露至經電漿活化之氟碳化合物(Cx Fy )俾以將其轉變為包含Si-F鍵結之揮發性的化合物。適合之氟碳化合物反應物的實例包含CF4 、C2 F6 等。在一實施例中,基於氟碳化合物的蝕刻涉及:在包含氟碳化合物及選擇性之惰性氣體的處理氣體中形成電漿;以形成之電漿接觸基板。氟碳化合物蝕刻可在錫氧化物時選擇性地蝕刻含矽化合物。在某些實施例中,提供半導體基板,其中半導體基板包含受到暴露之含矽化合物層及錫氧化物層。接下來,以氟碳化合物電漿接觸基板,然後在錫氧化物存在時選擇性地蝕刻含矽化合物。錫氧化物可在此蝕刻之前受到暴露,或者可在蝕刻期間變得受到暴露。基於氟碳化合物之蝕刻為一種基於氟的蝕刻。
基於氟之蝕刻 。 在某些實施例中,在錫氧化物存在時利用基於氟的蝕刻選擇性蝕刻元素矽及含矽化合物如SiO2 、SiN、SiC、SiOC、SiCN、SiON、SiCNO、及旋塗玻璃。基於氟之蝕刻涉及:將含矽材料暴露至含氟試劑(如NF3 、SF6 、或氟碳化合物),在某些實施例中氟試劑為電漿活化的;及將含矽材料轉變為揮發性的氟化矽。然而,錫氧化物不會形成揮發性的氟化物,因此實質上不受被此化學品蝕刻。除了含矽材料之外,在錫氧化物存在時可利用基於氟之蝕刻選擇性地蝕刻氧化鈦、鎢、碳化鎢。在一實施例中,基於氟之蝕刻涉及:在包含含氟反應物(如NF3 )及選擇性之惰性氣體的處理氣體中形成電漿;以形成之電漿接觸基板。基於氟之蝕刻可在錫氧化物存在時選擇性地蝕刻含矽化合物及元素矽。在某些實施例中,提供半導體基板,其中半導體基板包含受到暴露之含矽化合物層及/或元素矽(Si)層及錫氧化物層。接下來,以電漿中的含氟反應物接觸基板,在錫氧化物存在時選擇性蝕刻含矽化合物及/或Si。錫氧化物可在此蝕刻之前受到暴露,或者可在蝕刻期間變得受到暴露。
在一實施例中,在錫氧化物存在時利用基於氟的蝕刻選擇性地蝕刻矽(Si)。在一實施例中,此蝕刻方法涉及:以5–100 sccm之流率流動NF3 、以50–500 sccm之流率流動Cl2 、並以100–500 sccm的流率流動惰性氣體(如氮及/或氦),且利用100–1000 W(針對一300 mm晶圓)之RF功率(對應至0.14–1.4 W/cm2 之功率密度)在此處理氣體中形成電漿。此蝕刻可在有或無基板偏壓下進行。例如,基板偏壓可介於0–100 Vb之間如10–100 Vb之間。處理可在低於100 °C之溫度及10–300 mTorr之壓力下進行。
在某些實施例中,提供半導體基板,其中半導體基板包含:受到暴露之氧化鈦、鎢及/或碳化鎢層;及錫氧化物層。接下來,以電漿中的含氟反應物接觸基板,並在錫氧化物存在時選擇性地蝕刻鎢、及/或碳化鎢。錫氧化物可在此蝕刻之前受到暴露,或者可在蝕刻期間變得受到暴露。
在一實施例中,在錫氧化物存在時利用基於氟的蝕刻選擇性地蝕刻氧化鈦。在一實施例中,此蝕刻方法涉及:以5–500 sccm之流率流動CF4 、以0–500 sccm之流率流動CHF3 、並以100–500 sccm的流率流動惰性氣體(如氬),且利用500–1000 W(針對一300 mm晶圓)之RF功率(對應至0.71–1.4 W/cm2 之功率密度)在此處理氣體中形成電漿。此蝕刻可在有或無基板偏壓下進行。例如,基板偏壓可介於0–300 Vb之間如10–300 Vb之間。處理可在低於100 °C之溫度及5–50 mTorr之壓力下進行。
在一實施例中,在錫氧化物存在時利用基於氟的蝕刻選擇性地蝕刻碳化鎢。在一實施例中,此蝕刻涉及:以5–100 sccm之流率流動NF3 、以5–500 sccm之流率流動Cl2 、並以100–500 sccm的流率流動惰性氣體(如氬及/或氮),且利用100–1000 W(針對一300 mm晶圓)之RF功率(對應至0.14–1.4 W/cm2 之功率密度)在此處理氣體中形成電漿。此蝕刻可在有或無基板偏壓下進行。例如,基板偏壓可介於0–100 Vb之間如10–100 Vb之間。處理可在低於100 °C之溫度及10–100 mTorr之壓力下進行。
基於氧之蝕刻 。 在某些實施例中,在錫氧化物存在時利用基於氧之蝕刻選擇性地蝕刻選自下列者所構成之族群的一或多種材料:元素矽、含碳化合物、聚合物、及光阻。基於氧之蝕刻涉及:將上列材料暴露至含氧試劑(如O2 、O3 、SO2 、或CO2 ),在某些實施例中氧試劑為電漿活化的;及將材料轉變為包含碳-氧鍵的揮發性的產物(如CO或CO2 )。在一實施例中,基於氧之蝕刻涉及:在包含含氧反應物(如O2 )及選擇性之惰性氣體的處理氣體中形成電漿;以形成之電漿接觸基板。在其他實施例中,蝕刻可在不存在電漿的情況下進行。基於氧之蝕刻可在錫氧化物存在時選擇性地蝕刻矽(如非晶碳或如鑽石之碳)、含碳化合物、及光阻。在某些實施例中,提供半導體基板,其中半導體基板包含受到暴露之一或多種材料及錫氧化物層,其中一或多種材料係選自由碳、含碳化合物、及光阻所構成的族群。接下來,以含氧反應物(選擇性地在電漿中受到活化)接觸基板以將含碳材料轉變為揮發性的CO或CO2 ,藉此在錫氧化物存在時選擇性地蝕刻含碳材料。錫氧化物可在此蝕刻之前受到暴露,或者可在蝕刻期間變得受到暴露。
材料沉積 。文中所參照之材料可利用各種沉積方法如CVD(包含PECVD)、ALD (包含PEALD)、PVD(如金屬及金屬氧化物之沉積用之方法)、旋塗方法(如碳及某些介電材料所用之方法)沉積。當需要順形沉積時,通常優先考慮ALD。
可利用各種方法如CVD、PECVD、及ALD沉積SiO2 、SiC、SiN、SiOC、SiNO、SiCNO、及SiCN材料。沉積可包含含矽前驅物與反應物(如含氧反應物、含氮反應物、或含碳反應物)之間的反應。可使用各種含矽前驅物沉積此些材料,含矽前驅物包含矽烷、四烷基矽烷、三烷基矽烷、四乙基正矽烷(TEOS)等。例如,可利用TEOS或矽烷作為含矽之前驅物沉積SiO2
可藉由CVD或PECVD方法利用例如碳氫化合物前驅物(如CH4 )沉積碳。在其他實施例中,可藉由旋塗方法或PVD沉積碳。例如,可藉由旋塗方法沉積光阻及有機聚合物。
可藉由適合的方法如CVD(包含PECVD)、ALD (包含PEALD)、濺射等沉積錫氧化物層。在某些實施例中,較佳地順形地沉積SnO2 薄膜,俾使其依循基板表面包含基板上之任何突出及凹陷特徵部的表面。順形SnO2 薄膜之適合沉積方法中的一者為ALD。可使用熱或電漿增強之ALD。在一典型的熱ALD方法中,將基板提供至ALD處理室然後依序暴露至含錫前驅物及含氧反應物,其中含錫前驅物及含氧反應物能在基板表面上反應而形成SnO2 。在將基板暴露至含錫前驅物之後且暴露至,含氧反應物之前,通常以惰性氣體吹淨ALD處理室,避免在處理室大量空間中的反應。又,在以含氧反應物處理基板之後通常以惰性氣體吹淨ALD處理室。可使依序暴露重覆數個循環如介於約10–100個循環,直到已沉積具有期望厚度的錫氧化物層。適合之含錫前驅物的實例包含鹵化的含錫前驅物(如SnCl4 及SnBr4 )及非鹵化的含錫前驅物如包含烷基取代之醯胺錫等的有機錫化合物。適合ALD用之烷基取代之醯胺錫的特定實例為四(二甲基氨基)錫、四(乙基甲基氨基) 錫、N2 ,N3 -二第三丁基-丁烷-2,3-二氨基-錫(II)、及(1,3-二(1,1-二甲基乙基)-4,5-二甲基-(4R,5R)-1,3,2-二氮錫烷基-2-亞錫.
例示性的含錫前驅物可以是或包含有機錫前驅物如四乙基錫(SnEt4 ) 、 四甲基錫(SnMe4 )、四(二甲基氨)錫(Sn(NMe2 )4 )、四(二乙基醯胺基)錫(Sn(NEt2 )4 )、四(乙基甲基氨基)錫(Sn(NMeEt)4 )、(二甲基氨基)三甲基錫(IV) (Me3 Sn(NMe2 ))、二乙酸二丁基錫(Bu2 Sn(OAc)2 )、Sn(II)(1,3-二(1,1-二甲基乙基)-4,5-二甲基-(4R ,5R )-1,3,2-二氮錫烷基-2-亞錫)、N2 , N3 -二第三丁基-丁烷-2,3-二氨基-錫(II)等。有機錫前驅物包含的額外實例包含:二[二(三甲基矽基)氨基]錫(II)
Figure 02_image001
,其中TMS為三甲基矽基,
二丁基二苯基錫
Figure 02_image003
六苯基二錫(IV)
Figure 02_image005
四烯丙基錫
Figure 02_image007
四乙烯基錫
Figure 02_image009
乙醯丙酮錫(II)
Figure 02_image011
三環己基錫之氫化物
Figure 02_image013
三甲基(苯基乙炔基)錫
Figure 02_image015
,及
三甲基苯基錫
Figure 02_image017
在另一實例中,含錫前驅物亦可為無機錫前驅物如錫之鹵化物(如SnF2 , SnCl4 , SnBr4 )、錫之氫化物(如SnH4 )等。在某些實施例中,使用經氯化之有機錫前驅物如三甲基錫氯化物
Figure 02_image019
二氯二甲基錫
Figure 02_image021
,及
三氯甲基錫
Figure 02_image023
在某些實施例中,含錫前驅物為四甲基錫、四(二甲基氨)錫、或(二甲基氨)三甲基錫(IV)。
含氧反應物包含但不限於氧、臭氧、水、過氧化氫、及NO。亦可使用含氧反應物的混合物。取決於ALD反應物的選擇,可改變沉積條件,其中較高反應性的前驅物通常比較低反應性的前驅物更能在較低的溫度下反應。處理通常在介於約20–500 °C的溫度及次大氣壓下進行。選擇溫度及壓力俾使反應物在處理室中仍維持氣態以避免凝結。每一反應物係單獨或與載氣如氬、氦、或氮之混合物的形式以氣態提供至處理室。此些混合物的流率將取決於處理室的尺寸,在某些實施例中係介於約10–10,000 sccm之間。
在一實例中,ALD處理包含在ALD真空室中於200–400 °C的溫度下將基板依循及交替地暴露至SnCl4 (含錫前驅物)及去離子水(含氧反應物)。在一ALD循環的特定實例中,將SnCl4 蒸汽與N2 載氣的混合物導入ALD處理室中0.5秒,然後將其暴露至基板 3秒。接下來,以N2 吹淨ALD處理室10秒以自處理室的大部分空間移 除SnCl4 ,然後使H2 O蒸汽與N2 載氣的混合物流入處理室1秒,然後將其暴露至基板3秒。接下來,以N2 吹淨ALD處理室然後重覆循環。ALD處理係於次大氣壓(如0.4 Torr)及200–400 °C的溫度下進行。
雖然在許多實施例中適合在ALD中使用鹵化錫前驅物,但在某些實施例中更較佳地使用非鹵化之有機錫前驅物以避免使用鹵化前驅物如SnCl4 可能會發生的腐蝕問題。適合之非鹵化之有機錫前驅物的實例包含烷基氨錫(烷基化之醯胺錫)前驅物如四(二甲基氨)錫。在ALD處理的一實例中,基板在ALD室中於介於約50–300 °C之間的溫度下依循暴露至四(二甲基氨)錫及H2 O2 。有利地,使用此前驅物能在100 °C或更低的低溫下沉積SnO2 薄膜。例如,可在不使用電漿促進反應速率的情況下於50 °C的溫度下沉積SnO2 薄膜。
在某些實施例中,SnO2 薄膜係由PEALD所沉積。可使用上述針對熱ALD 之相同類型的含錫前驅物及含氧反應物。在PEALD中,ALD 設備配有用以在處理室中產生電漿且以電漿處理基板的系統。在典型的PEALD處理程序中,將基板提供至PEALD處理室並暴露至含錫前驅物,含錫前驅物吸附至基板表面上。以惰性氣體(如氬或氦)吹淨處理室以自處理室移除前驅物,然後將基板暴露至被導入處理室中的含氧反應物。導入含氧反應物的同時或一段延遲時間之後,在處理室中形成電漿。電漿促進基板表面上之含錫前驅物與含氧反應物的反應,導致形成錫氧化物。接下來,以惰性氣體吹淨處理室,然後重覆包含錫前驅物給劑、吹淨、含氧反應物給劑、電漿處理、及第二吹淨的循環必要次數以形成具有期望厚度的錫氧化物薄膜。
錫氧化物 作為 間隙壁
在某些實施例中,錫氧化物層係用來作為間隙壁。參考圖1A–1F例示使用錫氧化物間隙壁,圖1A–1F 提供在處理之不同階段處之半導體基板的概略橫剖面圖。圖2顯示此些方法之實施例的製程流程圖。
參考圖2,製程始於201,提供具有複數突出特徵部的基板。圖1A中顯示此例示性之基板,其顯示兩個模蕊101座落在蝕刻停止層(ESL)103上。在某些實施例中,相鄰模蕊之間的距離d1係介於約10–100 nm之間。在某些實施例中,使用相對較大的距離約40–100 nm。在其他應用中,最接近之模蕊之間的距離係介於約10–30 nm之間。在某些實施例中,最接近之模蕊之中心之間的距離d2(亦被稱為節距)係介於約30–130 nm之間。在某些實施例中,節距係介於約80–130 nm之間。在其他實施例中,節距係介於約30–40 nm之間。模蕊d3的高度通常介於約20-200 nm之間如介於約50-100 nm之間。
選擇模蕊之材料及ESL之材料俾以接續在受到暴露之錫氧化物存在時選擇性地蝕刻模蕊材料及在受到暴露之錫氧化物存在時選擇性地蝕刻ESL材料。是以,針對第一蝕刻化學品,模蕊材料之蝕刻速率對錫氧化物之蝕刻速率的比值係大於1、更較佳地大於約1.5如大於約2。類似地,針對第二蝕刻化學品,模蕊材料之蝕刻速率對錫氧化物之蝕刻速率的比值係大於1、更較佳地大於約1.5如大於約2。
在某些實施例中,ESL材料為含矽化合物(如SiO2 )、或金屬氧化物(如氧化鈦、氧化鋯、氧化鎢)。模蕊材料可包含含矽化合物(如SiO2 、SiN、 或SiC)、含碳化合物(如非晶碳、類鑽石之碳、或光阻)、非晶矽 (經摻雜或未經摻雜者)、及金屬氧化物(TaO、TiO、WO、ZrO、HfO)。在某些實施例中,模蕊的外材料係不同於模蕊芯。例如,在某些實施例中 模蕊係由非晶矽所製成並受到矽氧化物的覆蓋(如具有自發形成的熱氧化物層)。ESL層及模蕊可藉由物理汽相沉積(PVD)、化學汽相沉積(CVD)、ALD(無電漿或藉由PEALD)、或電漿增強之化學汽相沉積(PECVD)中的一或多者所形成,且可利用微影技術定義模蕊的圖案。適合之ESL/模蕊之組合的實例包含:(i)矽氧化物ESL與受矽氧化物覆蓋的矽模蕊;(ii)矽氧化物ESL與含碳模蕊;(iii)矽氧化物ESL與金屬氧化物模蕊;(iv)金屬氧化物ESL與受矽氧化物覆蓋之矽模蕊;(v)金屬氧化物ESL與含碳模蕊。
再次參考圖1A中所示之基板,ESL層103座落在目標層105上方並與其接觸。目標層105為必須受到圖案化的膜層。目標層105可為半導體、介電材料、或其他膜層,且可例如由矽(Si)、氧化矽(SiO2 )、氮化矽(SiN)、或氮化鈦 (TiN)所形成。在某些實施例中,目標層係稱為硬遮罩層且包含金屬氮化物如氮化鈦。目標層105可藉由ALD (無電漿或藉由PEALD)、CVD、或其他適合的沉積技術沉積。
目標層105座落在膜層107上方並與其接觸,在某些實施例中膜層107為包含嵌於介電材料層中之複數金屬線的BEOL層。
再次參考圖2,製程接著行至203,在突出特徵部之水平表面及側壁兩者上方沉積錫氧化物層。參考圖1B中所示之結構,錫氧化物層109係沉積於ESL 103上方、模蕊101包含模蕊之側壁上方。錫氧化物層係以任何適合的方法如CVD(包含PECVD)、ALD(包含PEALD)、濺射等沉積。在某些實施例中,較佳地順形沉積錫氧化物薄膜,俾使其如圖1B中所示依循膜層103及模蕊101的表面。在某些實施例中,錫氧化物層係順形沉積至介於約5- 30 nm之間如介於約10–20 nm之間的厚度。順形錫氧化物薄膜之適合沉積方法中的一者為ALD。可使用熱或電漿增進之ALD。
參考圖2之處理圖,在已沉積錫氧化物層之後,製程行進至205,利用基於氫的蝕刻或基於氯的蝕刻自突出特徵部之水平表面完全移除錫氧化物但不自側壁完全移除錫氧化物層。若模蕊具有含矽化合物或金屬氧化物作為外層,可使用基於氫的蝕刻。若模蕊的外層為碳層,可使用基於氯的蝕刻。此步驟中所用的蝕刻化學品應較佳地對ESL材料及模蕊之外層材料具有選擇性,意即針對此蝕刻化學品錫氧化物的蝕刻速率應大於模蕊外材料的蝕刻速率且大於ESL材料的蝕刻速率。圖1C中例示自水平表面移除錫氧化物。自ESL 103上方及模蕊101上方之水平表面蝕刻錫氧化物層109,但不完全自模蕊101之側壁位置處蝕刻錫氧化物層。此蝕刻暴露除了靠近模蕊101之側壁之位置之其他位置處的膜層103。又,此蝕刻暴露模蕊的上部。所得結構係顯示於圖1C中。較佳地在此蝕刻之後,保留側壁處之錫氧化物層之初始高度的至少50%如至少80%或至少90%。在一實例中,藉由基於氫的蝕刻(如H2 電漿蝕刻)自覆蓋模蕊之矽氧化物選擇性地蝕刻錫氧化物,俾使模蕊的外材料(SiO2 )受到暴露。基於氫的蝕刻對於SiO2 具有選擇性。在另一實例中,藉由基於氯的蝕刻(如BCl3 /Cl2 電漿蝕刻)自含碳(如碳)模蕊選擇性地蝕刻錫氧化物,俾使模蕊的含碳材料受到暴露。此類蝕刻對於含碳材料具有選擇性。在另一實例中,藉由基於氫的蝕刻(如H2 電漿蝕刻)自金屬氧化物(如氧化鈦)模蕊選擇性地蝕刻錫氧化物,俾使模蕊材料(金屬氧化物) 受到暴露。此蝕刻對於不會形成揮發性氫化物的金屬氧化物如氧化鈦具有選擇性。
在某些實施例中,自基板之水平部分移除錫氧化物層涉及使用兩種不同化學品的兩個步驟。在第一步驟(被稱為主蝕刻)中,自水平表面移除大量的錫氧化物層而通常不完全暴露模蕊下方的膜層及ESL材料。因此在某些實施例中,主蝕刻的蝕刻化學品不需要具有選擇性。在某些實施例中,主蝕刻係藉由以基於氯的化學品(如BCl3 /Cl2 電漿蝕刻)處理基板而加以進行。在主蝕刻蝕穿SnO薄膜之後或在那之前,將蝕刻化學品切換為過蝕刻化學品。可藉著使用光學探針偵測主蝕刻的終點,光學探針會在模蕊材料或ESL材料變得受到暴露時給予訊號。如上所述,使用選擇性的過蝕刻化學品移除剩下的錫氧化物薄膜但不實質上蝕刻模蕊材料及ESL。例如,可使用選擇性之基於氫的蝕刻或選擇性之基於氯的蝕刻。
接下來,如圖1D中所示,自基板移除模蕊101並留下經暴露之錫氧化物間隙壁101 及經暴露之層ESL 103。移除模蕊係藉著將基板暴露至能選擇性地蝕刻模蕊材料的蝕刻化學品而加以進行。是以,在此步驟中模蕊材料之蝕刻速率對錫氧化物之蝕刻速率的比值係大於1且更較佳大於1.5。又,在某些實施例中,此步驟中所使用的蝕刻化學品選擇性地相對於ESL材料而蝕刻模蕊材料。可使用各種蝕刻方法,化學品的特定選擇取決於模蕊材料及ESL層的材料。當模蕊係由覆蓋了矽氧化物之非晶矽所製成時,可使用基於氟的化學品(如NF3 )移除矽模蕊101及覆蓋模蕊的 SiO2 層。此化學品對於錫氧化物具有選擇性。
矽模蕊移除的另一選擇為使用在HBr與O2 之混合物中所形成的電漿。在某些實施例中,在蝕刻開始之前,自矽模蕊的表面移除矽氧化物之薄保護層。這可藉著將基板短暫地暴露至在包含氟碳化合物之處理氣體中所形成的電漿而加以達成。在自模蕊移除保護性的矽氧化物層之後,選擇性地蝕刻矽。在某些實施例中,在此步驟中針對基板較佳地使用較低的RF偏壓、或完全不使用外在偏壓。若不使用外在偏壓,基板的自我偏壓(10–20 V)是充分的。在無偏壓或低偏壓的條件下,HBr/O2 電漿將在錫氧化物及矽氧化物存在的情況下選擇性地蝕刻矽。此蝕刻可在包含含矽化合物之ESL存在的情況下進行。
當模蕊為含碳材料(如碳或光阻)時,可利用基於氧之蝕刻選擇性地移除模蕊。此化學品對於錫氧化物具有選擇性且可用於當由含矽化合物所構成之ESL及金屬氧化物ESL存在的情況下。
當模蕊為金屬氧化物(如氧化鈦、氧化鎢、氧化鋯、氧化鉿、氧化鉭)時,可以基於氯的蝕刻化學品(如電漿中之BCl3 /Cl2 )處理基板,相對於錫氧化物選擇性地移除模蕊。此化學品可用於包含含矽化合物(如SiO2 、SiN、SiC)之ESL存在的情況下。
接下來,蝕刻經暴露之ESL薄膜103以暴露未被錫氧化物間隙壁109保護之所有位置處的下方目標層105。所得結構係顯示於圖1E中。此步驟中所用的蝕刻化學品在錫氧化物存在時選擇性地蝕刻ESL材料。換言之,ESL材料之蝕刻速率對錫氧化物之蝕刻速率的比值係大於1且更較佳地大於1.5。此步驟中所用之特定類型的化學品將取決於ESL材料的類型。當使用含矽化合物(如基於矽氧化物及矽氧化物的材料)時,選擇性蝕刻將藉著將基板暴露至在包含氟碳化合物之處理氣體中所形成的電漿而完成。例如,可藉著包含CF4 、C2 F6 、及C3 F8 中之一或多者之處理氣體中所形成的電漿蝕刻ESL薄膜。當ESL為金屬氧化物層(如氧化鈦、氧化鎢、或氧化鋯)時,可利用基於氯的蝕刻化學品(如電漿中的BCl3 /Cl2 )在錫氧化物存在時選擇性地蝕刻ESL。
在下一步驟中,蝕刻未被ESL薄膜103保護之所有位置處的目標層105,以暴露下方膜層107。在此蝕刻步驟中亦移除錫氧化物間隙壁109 ,提供圖1F中所示之經圖案化的結構。在某些實施例中,選擇此步驟中所用之蝕刻化學品以移除目標材料及錫氧化物間隙壁材料兩者。在其他實施例中,可使用利用不同化學品的兩個蝕刻步驟以分別圖案化目標層105及移除錫氧化物間隙壁109。取決於目標層的化學材料可使用許多蝕刻化學品。在一實施例中,目標層105為金屬氮化物(如TiN)層。在此實施例中,可蝕刻金屬氧化物層,並可藉著將基板暴露至在包含Cl2 及碳氫化合物(如CH4 )之處理氣體中所形成的電漿利用單一蝕刻化學品移除錫氧化物間隙壁。一般而言,可利用上述之任何錫氧化物蝕刻方法移除錫氧化物間隙壁。
在形成間隙壁期間所遇到的其中一個問題為間隙壁之底腳,間隙壁之底腳為間隙壁之底部處的寬度與間隙壁之上部處之寬度之間的差。在理想上,間隙壁應為直的,在上部與底部處具有實質上相等的寬度。以實驗比較錫氧化物間隙壁與氧化鈦間隙壁。已知在錫氧化物間隙壁上使用H2 電漿蝕刻可將底腳大幅縮減至少於1 nm。然而,氧化鈦完全無法以H2 電漿蝕刻。當以HBr/N2 /氬電漿蝕刻氧化鈦間隙壁時,以較大間隙壁高度及CD損失的代價可將底腳縮減至僅2.4 nm。
又,顯示在HBr/N2 /氬電漿蝕刻下,以ALD沉積之氧化鈦對熱矽氧化物(TOX)的蝕刻選擇比係低於以ALD沉積之錫氧化物對TOX的蝕刻選擇比。尤其,利用此化學品,在TOX存在時蝕刻氧化鈦得到約10:1的選擇比,但蝕刻錫氧化物得到大於100:1的選擇比。利用H2 電漿,完全不蝕刻氧化鈦,但在TOX存在時蝕刻錫氧化物得到大於100:1的選擇比。利用BCl3 /Cl2 /He電漿,蝕刻氧化鈦得到約5:1之選擇比(氧化鈦對TOX), 但蝕刻錫氧化物得到約4:1的選擇比(錫氧化物對TOX)。基於氫的蝕刻化學品 (基於H2 且基於HBr)對矽氧化物提供氧化鈦無法達到之大於50:1及大於80:1的極高蝕刻選擇比。
在某些實施例中,修改參考圖1A-1F及2之文中所述的方法,其涉及在模蕊的側壁處使用錫氧化物層上方的鈍化層。鈍化層的目的在於在自水平表面移除錫氧化物之步驟期間最少化錫氧化物的蝕刻。在缺乏鈍化層的情況中,側壁的錫氧化物在水平方向上會受到不一致的蝕刻,這可導致間隙壁關鍵尺寸(CD)變異。使用鈍化層可避免或最少化此橫向蝕刻並導致間隙壁之間更一致的距離。此外,使用鈍化層可避免間隙壁之上角落的腐蝕,藉此使間隙壁具有更矩形的形狀。又,在側壁處使用鈍化層能使錫氧化物層之底部斜率或底腳因基板處之偏壓向下驅使更多蝕刻物種(如電漿中的離子)而更容易受到蝕刻。在接續的蝕刻步驟中最終可能會部分或完全消耗鈍化層,因此可維持間隙壁 CD。
選擇鈍化層的材料使其更能阻抗用以自水平表面移除錫氧化物用的特定蝕刻化學品。在某些實施例中,鈍化材料為例如PECVD所沉積之含矽化合物如SiO2 、SiN、或SiC。在其他實施例中,如鈍化材料為文中所述之碳(如利用碳氫化合物前驅物所沉積者)。在其他實施例中,鈍化材料為含錫化合物如氮化錫(SnN)、溴化錫(SnBr)、或氟化錫(SnF)。在某些實施例中,此些化合物係藉著將錫氧化物之外部轉變為含錫鈍化材料所形成。例如,可藉著將基板暴露至電漿(如N2 電漿)中的含氮化合物而將錫氧化物轉變為氮化錫。可藉著將基板暴露至含溴化合物(如HBr)而形成溴化錫。可藉著將基板暴露至電漿(如NF3 電漿或氟碳電漿)中的含氟化合物而形成氟化錫。調整SnBr及SnF沉積期間的條件以將蝕刻最少化。例如,可在無基板偏壓或低基板偏壓的情況下進行反應以最少化SnBr及SnF的移除。鈍化層通常形成至約1-5 nm之厚度。
圖3A-3E提供使用鈍化層之製程期間基板之部分的概略橫剖面圖。圖4提供此類製程的製程流程圖。參考圖4,以參考圖1A及1B之相同方式,製程始於4401,提供具有複數突出特徵部的基板,然後製程行進至4403,在突出特徵部之水平表面及側壁兩者上方沉積錫氧化物。圖1B中所示的基板亦例示於圖3A中,其中目標層為膜層301、ESL為303、模蕊為305、且錫氧化物層為307。膜層之材料大致上與參考圖1A-1F所述之實施例中所述者相同。在圖3A 之實施例中,模蕊305為具有矽氧化物(SiO2 )302作為外層的矽(Si)模蕊,但應瞭解,可將包含含碳模蕊與金屬氧化物模蕊之各種模蕊材料與所述之製程程序一起使用。參考圖4,在已沉積錫氧化物層之後,製程行進至4405,在突出特徵部之側壁處的錫氧化物層上方沉積鈍化層。所得結構係顯示於圖3B中,其顯示突出特徵部之側壁上的鈍化層309。在所示的實施例中,鈍化層不存在於水平表面上。
在某些實施例中,此類鈍化層可藉由下列方式形成:首先(a)在模蕊之側壁及水平表面兩者上方順形沉積鈍化材料(如矽氧化物、矽氮化物、矽碳化物、或碳);然後(b)自水平表面完全移除鈍化層但不自側壁完全移除鈍化層(例如蝕刻後俾以在側壁處留下至少50%或至少80%的鈍化層材料)。
當鈍化材料為含矽化合物時,可利用對錫氧化物有選擇性之基於氟碳化合物之蝕刻自水平表面移除鈍化材料。當鈍化材料為含碳材料時,可利用對錫氧化物有選擇性之基於氧之蝕刻或利用短暴露至在含氫氣體(如H2 )中所形成的電漿,自水平表面移除鈍化材料。在某些實施例中,利用錫氧化物蝕刻所用之相同化學品以及能促進自水平表面移除材料之基板處的充分偏壓,自水平表面移除含錫之鈍化材料。例如,製程可始於利用第一偏壓的電漿蝕刻以自水平表面移除含錫之鈍化材料,接著隨著製程轉換至主錫氧化物蝕刻可減少或關閉偏壓。在某些實施例中,在自水平表面蝕刻鈍化材料及錫氧化物期間使用基於氯的化學品(如BCl3 /Cl2 電漿)。
接下來,參考操作4407,製程自模蕊之水平表面完全移除錫氧化物但不完全移除模蕊之側壁處的錫氧化物。此蝕刻之進行可利用文中所述之任何適合的錫氧化物蝕刻化學品如使基於氫的蝕刻(如H2 電漿)、基於氯的蝕刻(如電漿中之Cl2 及/或BCl3 )、HBr電漿蝕刻、或此些蝕刻的組合。在圖3C及3D所示的實施例中,此蝕刻係以兩步驟進行。在第一步驟中,利用基於氯的蝕刻(如電漿中之BCl3 及Cl2 )自水平表面蝕刻大量錫氧化物以提供圖3C中所示之結構,其中該結構具有模蕊上部處之經暴露之矽氧化物外模蕊材料及模蕊之底角落處之多餘的錫氧化物。接下來,以基於氫的過蝕刻化學品(如漿中之H2 )蝕刻多餘之錫氧化物,提供圖3D中所示之結構。接下來,如前面參考圖1A-1F所述,選擇性蝕刻及移除模蕊材料,留下間隙壁307。在所示之實施例中,模蕊蝕刻化學品亦移除鈍化層309,留下圖3E中所示的結構。ESL的接續處理如依照前面參考圖1D-1F所述之方式進行。
在一更特定的實例中,層301為TiN、ESL 303為矽氧化物層;模蕊305為受到矽氧化物外層302覆蓋的矽(Si)、且層307為錫氧化物。參考此實例,處理方法包含:提供具有矽氧化物層及複數矽突出物的基板,其中矽突出物係受到原生矽氧化物覆蓋;接著在基板上方(藉由例如ALD)順形沉積錫氧化物層,並在突出特徵部之側壁上形成僅位於錫氧化物上方的矽氧化物鈍化層(如1-2 nm厚)。在形成鈍化層之後,方法接著自水平表面蝕刻錫氧化物但不完全移除位於突出特徵部之側壁處的錫氧化物。在此實例中,蝕刻係利用主(大量)蝕刻(如Cl2 /BCl3 電漿蝕刻)及之後的過蝕刻加以進行, 其中過蝕刻可例如是用以減少底腳的氫電漿蝕刻。在蝕刻之後,方法行進至移除矽模蕊但不移除錫氧化物間隙壁。應注意,側壁上的矽氧化物鈍化層可藉由下列方式形成:先在錫氧化物上方(例如藉由PECVD或ALD)順形沉積矽氧化物,接著自水平表面(例如藉由氟碳電漿)選擇性地蝕刻矽氧化物。為了自水平表面移除錫氧化物,此程序利用 BCl3 /Cl2 電漿主蝕刻及後續H2 電漿過蝕刻實驗測試。在此實驗測試的實例中,在主蝕刻之後,關鍵尺寸的損失為0 nm;底腳約為6 nm且蝕刻至矽氧化物ESL中的量為0 nm。在過蝕刻之後,關鍵尺寸的損失、底腳、及蝕刻至矽氧化物ESL中的量皆為0 nm。
在另一特定實例中,使用自錫氧化物之外部所形成的氮化錫作為鈍化材料。在某些實施例中,較佳地在形成錫氧化物間隙壁期間利用氮化錫鈍化層鈍化錫氧化物側壁,藉此減少間隙壁的變異。在某些實施例中,方法包含:(a)提供具有矽氧化物層及複數矽突出物的基板,其中矽突出物係受到原生矽氧化物覆蓋;(b)在基板上方(例如藉由ALD)順形沉積錫氧化物層;(c)在突出特徵部之側壁上形成僅位於錫氧化物上方的薄氮化錫鈍化層;(d)(例如利用主(大量)蝕刻(如Cl2 /BCl3 蝕刻)及過蝕刻的組合,其中過蝕刻可例如是用以減少底腳的氫電漿蝕刻)自水平表面蝕刻錫氧化物但不完全移除位於突出特徵部之側壁處的錫氧化物;及(d) 移除矽模蕊但不移除錫氧化物間隙壁。側壁上的氮化錫鈍化層可藉由下列方式形成:先在整個錫氧化物上方順形形成鈍化,接著自水平表面選擇性地移除氮化錫。在某些實施例中,氮化錫層係藉著以含氮電漿處理受到暴露之錫氧化物層所形成。例如,電漿可在含氮氣體如N2 或NH3 中形成。 電漿可為直接的(電漿在容納基板的相同室隔間中形成)或遠端的(電漿在不同的腔室或室隔間中形成然後饋送至容納基板的隔間)。在某些實施例中,此氮化處理係於用於錫氧化物層沉積的相同處理室中進行。在其他實施例中,氮化係於不同腔室中進行。在某些實施例中,氮化電漿處理係進行少於約5秒。形成氮化錫之順形層的另一方法為在錫氧化物順形層上方沉積氮化錫。氮化錫可例如藉由ALD或CVD加以沉積。在某些實施例中,ALD沉積涉及以含錫前驅物接觸基板並在基板表面上形成含錫層,接著以含氮電漿進行處理,其中重覆此製程必要之許多次數以建立具有期望厚度的鈍化層。在已形成順形氮化錫層之後,自水平表面蝕刻氮化錫,僅在側壁上留下氮化錫。在某些實施例中,此蝕刻的化學品係與主蝕刻的化學品相同但利用基板偏壓進行,俾以比主蝕刻更垂直異向性。例如,可利用Cl2 /BCl3 電漿蝕刻與基板偏壓自水平表面移除氮化錫。接下來,進行Cl2 /BCl3 主蝕刻(如無基板偏壓或比自水平表面移除氮化錫期間所用之偏壓更低的偏壓),接著進行H2 過蝕刻。氮化錫在主蝕刻處理期間對側壁處的錫氧化物提供絕佳的保護。已實驗測試使用氮化錫作為鈍化層且已確認在使用氮化錫鈍化層的情況中模蕊之側壁上之錫氧化物的厚度比不用錫氧化物氮化層所處理之相同結構中的錫氧化物厚度更厚。
碳遮罩應用
在某些實施例中,使用錫氧化物薄膜作為硬遮罩。錫氧化物硬遮罩 可以被圖案化以形成具有凹陷特徵部的基板,其中在凹陷特徵部之底部處有受到暴露之材料。接著在錫氧化物碳遮罩時處理基板。在某些實施例中,處理涉及蝕刻在凹陷特徵部之底部處之受到暴露的材料。在其他實施例中,處理可涉及將材料沉積至凹陷特徵部中。在其他實施例中,處理可涉及化學修飾在凹陷特徵部之底部處之受到暴露的材料。
經圖案化之錫氧化物層可利用各種方法形成。在一實施例中,利用微影圖案化形成經圖案化之錫氧化物薄膜。在一實施例中,該方法涉及:提供基板,基板具有形成在基板上的錫氧化物毯層;及在錫氧化物毯層上方形成經圖案化之光阻層。在某些實施例中,錫氧化物層上方之經圖案化之光阻層係直接形成在錫氧化物層的上部上並與其接觸。在其他實施例中,錫氧化物層與光阻之間可能有一或多層之中間碳遮罩層。在已沉積光阻層並利用標準微影技術圖案化光阻之後,將來自光阻的圖案移轉至錫氧化物層中,即蝕刻受到暴露之錫氧化物層。在某些實施例中,利用選擇性蝕刻如基於氫的蝕刻 (如電漿中之H2 )在受到暴露之光阻存在時蝕刻錫氧化物層。當錫氧化物層與光阻之間存在中間硬遮罩時,先將來自光阻的圖案移轉至此些中間硬遮罩(如包含含矽化合物如旋塗玻璃的遮罩、或碳硬遮罩)中,接著再將圖案移轉至錫氧化物中。在某些實施例中,而用適合的選擇性化學品如基於氫的蝕刻及/或基於氯的蝕刻在另一中間碳遮罩材料(如含矽化合物或碳)存在時蝕刻錫氧化物層。
圖5A-5C例示經歷利用錫氧化物遮罩之處理之基板的概略橫剖面圖。圖6提供以錫氧化物碳遮罩處理基板之製程流程圖。在操作601中,提供具有經圖案化之錫氧化物層的基板。錫氧化物層可如上所述利用微影技術圖案化、或利用參考圖1A-1D所述之用以形成錫氧化物間隙壁的製程程序圖案化。此類基板的實例係顯示於圖5A中,其中基板包含子層51及膜層53,膜層53係位於子層51與經圖案化之錫氧化物層55之間。基板之受到暴露部分包含形成在錫氧化物層55中的凹陷特徵部。膜層53的材料係於凹陷特徵部的底部處受到暴露。雖然在所示的實施例中,在經圖案化之錫氧化物層55之上部上並無額外材料,但在其他實施例中,可能有光阻或來自中間硬遮罩的材料存在於錫氧化物55的上部上。
接下來,在操作603中,在錫氧化物層55存在時處理基板。處理可涉及例如,蝕刻受到暴露之材料53、將材料沉積至凹陷特徵部中、或化學修飾受到暴露之材料53。蝕刻材料53係顯示於圖5B中,其中由錫氧化物層55之圖案所定義的凹陷特徵部係形成於膜層53中。為了在錫氧化物55存在時蝕刻膜層53的材料可使用各種選擇性的蝕刻化學品。例如,當膜層53為含矽材料時,可利用基於氟的化學品在錫氧化物存在時選擇性地蝕刻膜層53。例如,可利用氟碳電漿化學品蝕刻含矽化合物如矽氧化物、氮化矽、及碳化矽。當膜層53為含碳層(如非晶碳)時,可利用如文中所述之基於氧之化學品選擇性蝕刻膜層53。當膜層53為金屬 氧化物層(如氧化鈦、氧化鋯、氧化鉭、氧化鉿)時,可利用如文中所述之基於氯的化學品(如電漿中之BCl3 /Cl2 )在錫氧化物存在時選擇性地蝕刻膜層53。子層51的材料係不同於膜層53的材料,且在蝕刻膜層53期間實質上不蝕刻膜層51。圖5B中所示之所得結構具有形成在膜層55與53中的凹陷特徵部以及凹陷特徵部之底部處之子層51的受到暴露材料。
接下來,在操作605中移除錫氧化物材料55,提供圖5C中所示之結構,其中經圖案化之膜層53座落在子層51上方。在某些實施例中,此程序更用以圖案化子層51,子層51在某些實施例中為SiN層、金屬氮化物(如TiN或TaN)、或金屬層。較佳地利用對膜層53及51兩者皆有選擇性的化學品移除錫氧化物。例如,當此些材料為含矽材料、含碳材料、金屬氧化物、金屬氮化物、或金屬時,使用選擇性之基於氫的蝕刻(如可使用H2 電漿蝕刻)。又,在某些實施例中,當膜層53及51之材料為含矽材料或含碳材料時,可利用基於氯的蝕刻(如電漿中之BCl3 /Cl2 )。
在使用錫氧化物碳遮罩之製程流程的一特定實例中,子層51為SiN層、或金屬層,膜層53為矽氧化物。製程始於提供平坦基板,此基板具有在SiN或金屬層51上方之受到暴露的矽氧化物層。接下來,將錫氧化物毯層沉積至矽氧化物上方,接著(例如使用微影圖案化)圖案化錫氧化物,留下圖5A中所示的結構。接下來,例如利用氟碳電漿相對於錫氧化物選擇性地蝕刻受到暴露之矽氧化物,留下圖5B中所示的結構。接下來,利用氫電漿蝕刻剝除(移除)錫氧化物,留下圖5C中所示的結構。
錫氧化物中間層 。在另一碳遮罩實施例中,使用錫氧化物作為用以圖案化碳層或另一材料的中間碳遮罩(中間層)。在一實施例中,提供基板,其中基板包含形成在含碳層上(如在非晶碳層上)之經圖案化的錫氧化物層,其中基板包含在凹陷特徵部之底部處具有受到暴露之含碳材料的複數凹陷特徵部。接下來,在錫氧化物存在時選擇性地蝕刻受到暴露之含碳材料以在含碳層中形成凹陷特徵部。適合之選擇性蝕刻化學品包含基於氫之蝕刻化學品 (如電漿中之H2 及電漿中之HBr)及基於氯的蝕刻化學品(如電中之BCl3 及/或Cl2 )。圖5D-5G 以橫剖面結構例示一適合的製程程序。圖5D中所示之基板包含子層51(如非晶矽或文中所述的任何目標層)、位於子層51上方之含碳材料(如非晶碳)的毯層53、及位於含碳層53上方之錫氧化物毯層55。基板更包含在錫氧化物層55上方之經圖案化的光阻層57、及光阻 57與錫氧化物層55之間的下層56,其中下層可以是例如旋塗玻璃。下層56在凹陷特徵部之底部處受到暴露,凹陷特徵部係形成在基板表面上之經圖案化的光阻層57中。藉著以基於氟碳化合物的電漿蝕刻在光阻存在時選擇性地蝕刻下層,將光阻之圖案移轉至下層56。如圖5E中所示,蝕刻暴露凹陷特徵部之底部處的錫氧化物層55。接下來,利用較佳地對下層材料具有選擇性的蝕刻圖案化錫氧化物。例如,可利用基於氫的蝕刻或基於氯的蝕刻蝕刻錫氧化物。在圖5F所示的所得結構中,已自光阻移轉至錫氧化物層及含碳層53的圖案在凹陷特徵部的底部處受到暴露。接下來,製程接著蝕刻受到暴露之含碳層53。較佳地使用對錫氧化物具有選擇性的化學品。例如,可以基於氧之蝕刻蝕刻受到暴露之含碳層53(如可以在含氧氣體中形成的電漿蝕刻碳)。在此步驟中亦可移除剩餘的光阻及下層56。在此蝕刻後所獲得的結構係顯示於圖5G中。製程更可接著進行錫氧化物55之移除及受到暴露之子層51的接續處理。
中間層的另一實施例係顯示於圖5H–5K中。製程係類似於參考圖5D-5G所述之製程,但係於無下層56之情況下進行。在此程序中,經圖案化的光阻57係直接形成在錫氧化物層55上,接著以(例如使用基於氫的蝕刻(H2 or HBr))或基於氯的蝕刻在光阻存在時蝕刻錫氧化物。製程接著將來自錫氧化物中間層55的圖案移轉至含碳層53,其中膜層53自己可具有用以圖案化下方膜層51之硬遮罩的功能。接下來,例如利用基於氫的蝕刻化學品(如電漿中的H2 )在含碳層53存在時選擇性地蝕刻及移除錫氧化物55。此些中間層製程係適合用於EUV碳遮罩處理應用。
在另一實施例中,使用高選擇性之蝕刻將光阻圖案移轉至錫氧化物層。例如,可利用基於氫的蝕刻化學品及添加含碳反應物在上方的光阻 及下方的材料存在時選擇性地蝕刻錫氧化物,其中含碳反應物係用以在基板表面上形成含碳聚合物並增加蝕刻選擇比。例如,可在H2 、碳氫化合物(如CH4 )、及文中所述之選擇性之惰性氣體的混合物中形成電漿。這將參考圖5H–5K加以例示。在此實例中,如圖5H中所示,將經圖案化之光阻層57形成在錫氧化物層55(如9–12 nm厚)上方。在此實例中,錫氧化物層正下方的材料膜層為碳化鎢層53(35–55 nm厚)。此實例中的膜層51為矽氧化物(如TEOS覆層),其可位於BEOL處理程序中所用之極低介電常數層上。首先,利用高度選擇性的H2 /CH4 電漿蝕刻在光阻及碳化鎢存在時選擇性地蝕刻錫氧化物。如圖5I中所示,形成凹陷特徵部並暴露碳化鎢層。接下來,在錫氧化物存在時選擇性地蝕刻碳化鎢,暴露下方的TEOS層。例如,可利用文中所述之NF3 /Cl2 電漿蝕刻相對於錫氧化物選擇性地蝕刻碳化鎢。在此步驟期間亦可實質移除光阻。所得結構係顯示於圖5J中。接下來,利用對碳化鎢有選擇性的蝕刻自基板移除錫氧化物。在某些實施例中,較佳地利用H2 電漿移除錫氧化物。在某些實施例中,在此步驟中並未使用碳氫化合物添加物。例如,在已蝕刻下方層之後,於下列之製程條件下利用H2 蝕刻移除錫氧化物。在此實例中,蝕刻涉及:在100–500 sccm之流率下流動H2 、及在100–500 W之RF功率(針對每一片300 mm晶圓)下於處理氣體中形成電漿。此蝕刻可在有或無基板偏壓的情況下進行。例如,基板偏壓可介於0–100 Vb之間如10–100 Vb之間。處理可在低於100 °C的溫度及5–50 mTorr的壓力下進行。
圖5L-5O中之橫剖面圖例示利用錫氧化物中間層碳遮罩的另一製程流程。在此實例中,如圖5L中所示,在錫氧化物層55(如9–12 nm厚)上方形成圖案化的光阻層57。在此實例中,錫氧化物層正下方之材料膜層為非晶矽(Si)層53(35–55 nm厚)。此實例中的膜層51為矽氧化物蝕刻停止層。在此實例中,沉積光阻俾使其在底部比上部寬。已知使用H2 /碳氫化合物電漿蝕刻可減少光阻寬度之差異並使其在橫剖面中實質上呈矩形。首先,利用高度選擇性之H2 /CH4 電漿蝕刻在光阻及非晶矽存在時選擇性地蝕刻錫氧化物。如圖5M中所示,形成凹陷特徵部並暴露非晶矽層,同時改善光阻之幾何特徵。接下來,在錫氧化物存在時選擇性地蝕刻矽而暴露下方的蝕刻停止層。例如,可利用文中所述之NF3 /Cl2 電漿蝕刻相對於錫氧化物選擇性地蝕刻矽。利用此蝕刻可達到大於40的蝕刻選擇比(矽對錫氧化物)。在此步驟期間亦可實質移除光阻。所得結構係顯示於圖5N中。接下來,利用對矽有選擇性的蝕刻自基板移除錫氧化物。在某些實施例中,較佳地利用H2 電漿移除錫氧化物。在H2 電漿處理期間可移除剩餘的光阻。所得結構係顯示於圖5O中。
使用錫氧化物作為硬遮罩是高度有利的,因為其相對於矽氧化物可以極高的選擇比蝕刻,矽氧化物為欲圖案化的共同層。亦可以對廣泛之其他材料有選擇性的乾式電漿蝕刻化學品(如基於氫的或基於氯的化學品)選擇性地蝕刻錫氧化物,其他材料包含碳、光阻、金屬、金屬氮化物、及金屬 氧化物。由於錫氧化物不需要濕式蝕刻且可以氫電漿蝕刻但氮化鈦通常以濕式蝕刻方法移除,故錫氧化物硬遮罩比氮化鈦硬遮罩更佳。
反相 (revise tone) 硬遮罩 。 在某些實施例中,在各種反相硬遮罩應用中使用錫氧化物。圖8中顯示一例示性之製程流程,圖7A-7C中以略概橫剖面圖例示此製程流程。製程始於801,提供基板,基板具有受到暴露之經圖案化的可灰化層及複數凹陷特徵部。可灰化之材料的實例包含含碳材料如非晶碳、類鑽石之碳、光阻、及有機聚合物,其中聚合物可為無摻雜的或摻有金屬或金屬氧化物。例如可藉由(如PECVD或旋塗方法)沉積可灰化之材料的毯層接著加以微影圖案化,形成可灰化之材料之經圖案化的膜層。圖7A例示具有位於子層701(如Si、SiN、金屬氮化物、或文中所述之任何目標或子層材料)上之可灰化之材料層703的基板。基板上有複數凹陷特徵部且膜層701在凹陷特徵部之底部處受到暴露。接下來,在操作803中,以錫氧化物(如利用CVD)填充基板上的凹陷特徵部。在此步驟中通常亦在可灰化之材料層703上方形成多餘材料。所獲得之結構係顯示於圖7B中,其中經沉積之錫氧化物705填充可灰化之材料703之間的間隙且形成多餘材料。接著可以化學機械研磨(CMP)操作或大量電漿蝕刻(如用基於氫的及/或基於氯的電漿蝕刻)移除多餘材料,以暴露可灰化之材料703。在平坦化之後,在操作805中,移除可灰化之材料但不實質上移除錫氧化物材料(如留下至少90%之錫氧化物),藉此形成圖7C中所示之錫氧化物705的互補圖案。
在一特定實例中,提供包含位於目標層(如矽氧化物、氮化矽、或金屬)上之經圖案化之碳層的基板。經圖案化之碳層具有複數凹陷(如具有介於約5-50 nm之間的寬度)。接下來,以錫氧化物(如CVD)填充凹陷並形成錫氧化物之多餘材料。接下來,(如藉由CMP或對碳有選擇性的乾式電漿蝕刻)移除錫氧化物之多餘材料以暴露碳,接著利用O2 電漿移除(剝除)碳而不完全移除錫氧化物。
圖10中之製程流程圖顯示反相遮罩的另一實施例,圖9A-9C 中之經處理的基板的概略橫剖面圖例示此實施例。製程始於1001,提供具有經圖案化之錫氧化物層及複數凹陷特徵部的基板。此係例示於圖9A中,其中經圖案化之錫氧化物層903係位於子層901(如金屬氮化物、或金屬層)上。子層901在形成在錫氧化物層903中之凹陷特徵部的底部處受到暴露。接下來,在操作1003中,藉由例如CVD以含矽材料填充凹陷特徵部。適合之含矽材料的實例包含矽(如非晶矽或多晶矽)及含矽化合物如矽氧化物、氮化矽、及碳化矽。在此沉積期間可形成錫氧化物層上方的多餘材料。所得結構係顯示於圖9B中,其中含矽材料905填充經圖案化之錫氧化物層之間的間隙並形成多餘材料。接下來,以CMP或電漿蝕刻(如基於氟的蝕刻如氟碳化合物電漿蝕刻)移除多餘材料並暴露錫氧化物903。在接下來的操作1005中,移除錫氧化物但不移除含矽材料,藉此形成含矽材料的圖案化層(錫氧化物圖案的反相或互補圖案)。例如利用基於氫的蝕刻(如H2 電漿蝕刻、或HBr電漿蝕刻)、或基於氯的蝕刻(如BCl3 /Cl2 蝕刻)相對於含矽材料選擇性地蝕刻錫氧化物。蝕刻後所獲得的結構係顯示於圖9C中,其中顯示經圖案化之含矽層905。子層901在形成於含矽材料905中之凹陷特徵部的底部處受到暴露。
在一實施例中,提供具有目標層及目標層上方之圖案化錫氧化物層的基板。接下來,以矽氧化物(如藉由PECVD)填充經圖案化之錫氧化物中的凹陷特徵部並形成矽氧化物之多餘材料。接下來,蝕刻(凹陷蝕刻)矽氧化物之多餘材料以暴露錫氧化物,藉著利用例如氫電漿移除(剝除)錫氧化物,藉此留下經圖案化之矽氧化物,其圖案係與初始錫氧化物圖案互補。
設備
文中所述的蝕刻方法可在各種設備中進行。適合的設備包含蝕刻處理室、蝕刻處理室中用以在蝕刻期間支撐基板於定位的基板支撐件、及用以在處理氣體中生成電漿的電漿生成機構。
適合的設備的實例包含感應耦合電漿(ICP)反應器在某實施例中其亦可適合用於週期性沉積與活化製程包含原子層蝕刻(ALE)操作及原子層沉積(ALD)操作。雖然在本文中詳細說明ICP反應器,但應瞭解,亦可使用感應耦合電漿反應器。
圖11概略顯示適合施行文中所述之電漿蝕刻之感應耦合電漿整合蝕刻與沉積設備400的橫剖面圖,此設備400的一實例為加州Fremont之科林研發公司所製造的Kiyo® 反應器。感應耦合電漿設備400包含結構由室壁401與窗411所定義的整體處理室424。室壁401可由不銹鋼或鋁所製成。窗411可由石英、或其他介電材料所製成。選擇性的內電漿格柵450將整體處理室分隔為上子室402與下子室403。在大部分的實施例中,可移除電漿格柵450,藉此使用由上子室402與下子室403所構成的室空間。夾頭417係位於下子室403內接近內部底表面之處。夾頭417係用以接收半導體晶圓419並在進行蝕刻與沉積處理時將半導體晶圓419支撐於其上。夾頭417可為當晶圓419存在時用以支撐晶圓419的靜電夾頭。在某些實施例中,一邊緣環(未顯示)環繞夾頭417且具有在夾頭417上存在晶圓419時與晶圓419上表面近乎持平的上表面。夾頭417亦包含靜電電極以夾持與釋放晶圓419。為了此目的可提供濾波器及DC夾持電源(未顯示)。亦可提供用以將晶圓419舉升離開夾頭417的其他控制系統。利用RF電源423可使夾頭417帶電。RF電源423係經由連接件427而連接至匹配電路421。匹配電路421係經由連接件425而連接至夾頭417。在此方式下,RF電源423係連接至夾頭417。在各種實施例中,根據所揭露之實施例,取決於所進行的製程,可將靜電夾頭的偏壓功率設定在約50Vb或一不同的偏壓功率。例如,偏壓功率可介於20Vb至約100Vb之間、或介於約30Vb至150Vb之間。
用以產生電漿的元件包含位於窗411上方的線圈433。在某些實施例中,於所揭露的實施例中未使用線圈。線圈433係自導電材料所製造且包含至少完整的一圈。圖4中所示之例示性之線圈433包含三圈。具有「X」之線圈433符號的橫剖面代表線圈433旋轉地延伸進入紙面,具有「•」之線圈433符號代表線圈433旋轉地延伸出紙面。用以產生電漿的元件亦包含用以將RF功率供給至線圈433的RF電源441。一般而言,RF電源441係經由連接件445而連接至匹配電路439。匹配電路439係經由連接件443而連接至線圈433。以此方式,RF電源441係連接至線圈433。選擇性的法拉第屏449a係位於線圈433與窗411之間。法拉第屏449a維持與線圈433空間分隔的關係。在某些實施例中,法拉第屏449a係緊鄰窗411並設置在窗411的上方。在某些實施例中,法拉第屏449a係介於窗411與夾頭417之間。在某些實施例中,法拉第屏449a並未維持與線圈433空間分隔的關係。例如,法拉第屏449a可位於窗411正下方且兩者之間並無間隙。線圈433、法拉第屏449a、及窗411每一者係以實質上彼此平行的方式配置。法拉第屏449a可避免金屬或其他物種沉積至處理室424的窗411上。
處理氣體(如H2 與He等)可經由位於上子室402中的一或多個主氣體流動入口460及/或經由一或多個側氣體流動入口470流至處理室中。類似地,雖然未明確顯示,但可使用類似的氣體流動入口將處理氣體供給至電容耦合電漿處理室。可使用真空泵浦如一或兩階段的機械乾式泵浦及/或渦輪分子泵浦440以將處理氣體抽出處理室424並維持處理室424內的壓力。例如,可在吹淨操作期間使用真空泵浦排空下子室403。可使用閥控制的導管將真空泵浦流體連接至處理室424以選擇性地控制真空泵浦所提供的真空環境的施加。這可藉著在操作性電漿處理期間使用閉迴路控制式的流動限制裝置如節流閥(未顯示)或擺閥(未顯示)來達成。類似地,亦可使用連接至電容耦合電漿處理室的真空泵浦及閥控制流體連接件。
在設備400的操作期間,可經由氣體流動入口460及/或470供給一或多種處理氣體如含氫氣體。在某些實施例中,可僅經由主氣體流動入口460或可僅經由側氣體流動入口470供給處理氣體。在某些情況中,例如可以更複雜的氣體流動入口、一或多個噴淋頭來取代圖中所示的氣體流動入口。法拉第屏449a及/或選擇性的格柵450可包含內部通道與孔洞使處理氣體得以被輸送至處理室424。法拉第屏449a及選擇性之格柵450中的任一者或兩者可具有用以輸送處理氣體之噴淋頭的功能。在某些實施例中,可將一液體蒸發與輸送系統設置在處理室424上游,俾使液體反應物或前驅物一旦蒸發後,經蒸發的反應物或前驅物便藉由氣體流動入口460及/或470被導入至處理室424中。
自RF電源441將射頻功率供給至線圈433以使RF電流流過線圈433。流經線圈433之RF電流在線圈433周圍產生電磁場。電磁場在上子室402內產生感應電流。經產生之各種離子與自由基與晶圓419物理及化學作用以蝕刻晶圓419上的特徵部並在晶圓419上選擇性地沉積膜層。
若使用電漿格柵450而產生上子室402與下子室403兩者,則感應電流會作用於存在於上子室402中的氣體而在上子室402中產生電子-離子電漿。選擇性的內部電漿格柵450限制在下子室403中的熱電子量。在某些實施例中,設計及操作設備400俾使下子室403中的電漿為離子-離子電漿。
上電子-離子電漿與下離子-離子電漿兩者皆包含正離子與負離子,但離子-離子電漿具有更高比例之負離子比正離子。揮發性蝕刻及/或沉積副產物係經由接口422而自下子室403移除。例如,在吹淨及/或排放期間可經由接口422移除在利用H2 電漿蝕刻錫氧化物期間所產生之錫的氫化物。文中所揭露的夾頭417可在介於約10°至約250°之間的升高溫度範圍下操作。溫度取決於處理操作及特定的配方。在某些實施例中,控制設備以在低於約100 °C的溫度下進行蝕刻。
當設備400被安裝至潔淨室或製造場所時其可被耦合至複數設施(未顯示)。複數設施包含提供處理氣體、真空、溫度控制、及環境粒子控制的水電系統。當設備400被安裝至目標製造場所中時,此些設施係耦合至設備400。此外,設備400可耦合至傳送室,傳送室可利用典型的自動化系統使機器人將半導體晶圓傳送進出設備400。
在某些實施例中,系統控制器430(其可包含一或多個實體或邏輯控制器)控制處理室424之複數操作中的某些或所有操作。系統控制器430可包含一或多個記憶體裝置及一或多個處理器。在某些實施例中,設備400包含用以控制處理氣體之流率的切換系統。在某些實施例中,控制器包含用以進行文中所提供之任何方法之步驟的複數程式指令。
在某些實施例中,控制器430為系統的一部分,系統可為上述實例的一部分。此類系統可包含半導體處理設備,其包含一處理工具或複數製程工具、一處理室或複數處理室、一處理平臺或複數處理平臺、及/或特定的處理元件(晶圓平臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置可整合至系統控制器430中,其可控制系統或複數系統的各種元件或子部件。取決於處理參數及/或系統類型,系統控制器可被程式化以控制文中所揭露的任何處理包含輸送處理氣體、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至特定系統或與特定系統交界的其他傳輸設備及/或裝載互鎖機構。
概括地說,系統控制器430可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清潔操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特定應用積體電路(ASIC)的晶片及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓進行特定處理或對系統進行特定處理所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造或移除期間的一或多個處理步驟所定義之配方的一部分。
在某些實施例中,系統控制器430為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器可位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓處理。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定處理步驟以符合現有處理、或開始一新的處理。在某些實例中,遠端電腦(如伺服器)可經由網路對系統提供處理配方,網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,系統控制器430接收數據形式的指令,指令指出在一或多個操作期間欲施行之每一處理步驟的參數。應瞭解,參數係特別針對欲施行之處理的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散系統控制器430如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理及控制工作的離散控制器。為了此類目的的分散控制器的實例為處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準或遠端電腦的一部分)的積體電路通訊而共同控制處理室上的處理。
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清潔室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、ALD室或模組、ALE室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體處理系統。
如上所述,取決於設備所進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他設備的電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
圖12顯示具有各種模組之半導體處理叢集設備,此些模組係與真空傳送模組538 (VTM)交界。用以在多個儲存設施與處理模組之間「傳送」晶圓的各種模組的配置可被稱為「叢集設備結構」系統。氣鎖530(亦被稱為加載互鎖或傳送模組)與VTM 538交界,VTM 538則與四個處理模組520a-520d交界,處理模組520a-520d可各別被最佳化以進行各種製造處理。例如,可使用處理模組520a-520d進行基板蝕刻、沉積、離子植入、晶圓清潔、濺射、及/或其他半導體處理。某些實施例中,在相同的模組中進行錫氧化物沉積與錫氧化物蝕刻。在某些實施例中,在相同工具的不同模組中進行錫氧化物沉積與錫氧化物蝕刻。可以文中所揭露的方式使用複數基板蝕刻處理模組中的一或多者(520a-520d中的任何者),即用以沉積順形薄膜、用以選擇性蝕刻錫氧化物、形成氣隙、及根據所揭露之實施例的其他適合功能。氣鎖530與處理模組520a-520d可被稱為「站」。每站具有使此站與VTM 538交界的刻面536。當晶圓526在各個站之間移動時,在每一刻面內使用感應器1-18偵測晶圓526的通過。
機器人522在複數站點之間傳送晶圓526。在一實施例中機器人522可具有單臂,在另一實施例中機器人522可具有雙臂其中每一臂具有用以拾取晶圓如晶圓526之傳送用的末端執行器524。在大氣傳送模組(ATM)540中的前端機器人532可用以將晶圓526自加載接口模組(LPM)542中的晶圓盒或前開口標準艙(FOUP)534傳送至氣鎖530。處理模組520a-520d內的模組中心528為用以放置晶圓526的一位置。ATM 540中的對準裝置544可用以對準晶圓。
在一例示性的處理方法中,晶圓被放置到LPM 542中之複數FOUP 534的一者中。前端機器人532將晶圓自FOUP 534傳送至對準裝置544,對準裝置544能在晶圓526受到蝕刻、或處理之前適當地將晶圓526置中。在對準後,前端機器人532將晶圓526移至氣鎖530中。由於氣鎖530具有使ATM 540與VTM 538間之環境匹配的能力,因此晶圓526可在兩個壓力環境之間移動而不受損害。機器人522將晶圓526自氣鎖530經由VTM 538而移至處理模組520a-520d中的一者。為了達成此晶圓移動,機器人522使用在其每一臂上的末端執行器524。一旦晶圓526受到處理後,機器人522將晶圓526自處理模組520a-520d移動至氣鎖530。前端機器人532可將晶圓526自氣鎖530移動至複數FOUP 534中的一者或移動至對準裝置544。
應注意,控制晶圓移動的電腦可位於叢集結構的附近、或可位於製造樓層中叢集結構的外部、或位於遠端並藉由網路而連接至叢集結構。針對圖11所述的控制器可與圖12中的設備一起使用。包含用以根據本發明控制處理操作之指令的機器可讀媒體可耦合至系統控制器。
在某些實施例中提供一種設備,該設備包含:具有基板支撐件的處理室,基板支撐件係用以在蝕刻期間支撐半導體基板;電漿產生器,用以在處理氣體中產生電漿;及控制器。控制器包含用以施行任何文中所述之方法的複數程式指令。
在另一態樣中,提供一種非瞬變電腦可讀媒體,其包含用以進行文中所述之任何方法的程式碼。
在另一態樣中,提供一種在半導體基板上形成間隙壁或硬遮罩的系統。此系統包含:一或多個沉積室;一或多個蝕刻室;及控制器。控制器包含用以進行文中所述之任何方法的複數程式指令。在另一態樣中,此系統包含文中所述的任何設備及系統及一步進設備。在另一態樣中,提供一種半導體基板之處理系統。在一實施例中,此系統包含:一或多個沉積室;一或多個蝕刻室;及系統控制器,系統控制器包含用於下列者的複數程式指令:(i)在半導體基板上之複數突出特徵部的複數水平表面與複數側壁上方沉積錫氧化物層;(ii)在複數突出特徵部之複數側壁處 之錫氧化物層上形成鈍化層;(ii)自複數突出特徵部之複數水平表面移除錫氧化物層而不移除複數突出特徵部之複數側壁上方之錫氧化物層。
交替之蝕刻與鈍化處理
在某些實施例中,在文中所述之各種半導體處理方法中在經暴露之含矽層如非晶矽、SiOC、SiON、SiONC、SiN、SiC、及SiO2 存在時蝕刻錫氧化物。在某些實施例中,更保護含矽層不受到錫氧化物蝕刻期間可能發生的非所欲蝕刻。
圖13中顯示使用此類保護之製程流程圖的實例。製程始於1301,提供具有含矽層的基板,其中基板更包含受到暴露之錫氧化物層(如矽氧化物層上的錫氧化物底腳)。此類基板的一實例係顯示於圖14A中,其係與圖3C相同。在圖14A中,基板包含設置在蝕刻停止層303上的突出特徵部305。在所示之實施例中的蝕刻停止層為含矽層如非晶矽、SiOC、SiON、SiONC、SiN、SiC、或SiO2 。錫氧化物層307位於突出特徵部305的側壁處且形成底腳(突出特徵部之底部處的橫向擴張),底腳必須被縮減以形成具有一致距離的錫氧化物間隙壁。雖然在某些實施例中可以參考圖3D的方式有效地移除底腳,但在某些情況中蝕刻底腳可能會導致蝕刻停止層303的不利蝕刻。在一實例中,若在錫氧化物蝕刻期間使用基於氯的蝕刻化學品 (如Cl2 /BCl3 蝕刻)且含矽層為SiOC,可能會發生此不利蝕刻 。
參考圖13,在操作1303中,鈍化含矽層。鈍化為能使含矽層更能阻抗錫氧化物蝕刻化學品的一種處理。在一實例中,鈍化係藉著以含氧反應物處理基板如藉著以電漿中的含氧反應物處理基板而加以進行。例如,可在包含O2 、O3 、SO2 、CO2 、或此些含氧反應物之任何組合的處理氣體中形成電漿。在某些實施例中,處理會導致在含矽層之受到暴露的外部處形成矽氧鍵。例如,在鈍化處理後,SiOC層可由更富氧之材料所構成。在另一實例中,以CVD所沉積之矽氧化物蝕刻停止層包含殘餘的碳與氫,利用含氧反應物之鈍化能減少停止層中碳與氫的含量,使其更能阻抗錫氧化物蝕刻化學品。具有經鈍化之蝕刻停止層304的基板係顯示於圖14B中。在另一實施例中,鈍化係藉由以含氮反應物如電漿中之含氮反應物(如N2 )處理基板而加以進行。鈍化處理的目的在於使含矽材料(如蝕刻停止層材料)更能阻抗用於錫氧化物蝕刻之錫氧化物化學品如基於氯的蝕刻化學品、或基於氫的蝕刻化學品。
在操作1305中,蝕刻錫氧化物。可藉由文中所述的方法如將基板暴露至基於氯的化學品(如電漿中之Cl2 及/或BCl3 )及/或基於氫的化學品(如文中所述以H2 、HBr、碳氫化合物或其組合加以處理)蝕刻錫氧化物。反應物氣體(如Cl2 及/或BCl3 )可與惰性稀釋劑氣體如氦、氬、氖、或氙一起提供。在操作1307中,以交替方式重覆鈍化步驟1303及蝕刻步驟1305。應注意,第一鈍化步驟可在第一蝕刻步驟之前或之後進行。例如,方法可具有蝕刻/鈍化/蝕刻/鈍化程序、或鈍化/蝕刻/鈍化/蝕刻程序。在某些實施例中,方法涉及進行介於2-50次之間之次數如介於5–20 次之間之次數的蝕刻與鈍化步驟(即蝕刻與鈍化步驟中的每一者皆進行介於2–50次之間之次數如介於5-20 次之間之次數)。在完成所需之蝕刻與鈍化次數後所獲得結構係顯示於圖14C中。在一特定的實例中,每一蝕刻步驟係進行約10秒且每一鈍化步驟係進行5秒,其中方法涉及以交替方式進行介於8–20次之間之次數之每一步驟。
文中所述之蝕刻與鈍化的交替程序可提供數項優點。第一,可最少化含矽之蝕刻停止層的非所欲蝕刻並同時維持側壁厚度。再者,可有效地移除底腳。應注意,可使用文中所述之方法在蝕刻經暴露之錫氧化物期間保護任何含矽層,但如參考圖14A-14C 所述,其尤其能用於移除錫氧化物底腳。應注意,一般而言矽氧化物比其他含矽材料更能阻抗蝕刻,且所提供之方法尤其能用於保護此類材料如SiOC、非晶矽、SiOCN、及SiC,即便此些方法亦可用於鈍化矽氧化物。在一特定的實施例中,利用含氧反應物(如電漿中之O2 、O3 、SO2 、或CO2 )鈍化包含此類材料的基板,鈍化能使含矽材料更能阻抗基於氯的錫氧化物蝕刻化學品(如Cl2 /BCl3 化學品)及基於氫的錫氧化物蝕刻化學 (如H2 、HBr、碳氫化合物蝕刻)。因此,在某些實施例中,製程涉及交替利用含氧反應物之鈍化與利用文中所述之基於氯的化學品及/或基於氫的化學品的錫氧化物蝕刻。
在一實例中,當實施所提供之方法,可將錫氧化物側壁的損失自5 nm 減少至少於1 nm 並將底部SiOC層的損失自5 nm減少至1 nm。藉著實施此些方法可將錫氧化物底腳 自6 nm減少至1 nm。應注意,可使用或不使用錫氧化物鈍化層309實施所提供的方法。
在一實例中,鈍化包含經暴露之非晶矽蝕刻停止層及經暴露之錫氧化物層(在形成錫氧化物間隙壁期間)的基板以使非晶矽蝕刻停止層更能阻抗錫氧化物蝕刻化學品。鈍化係以下列方式進行:在40度C及5 mTorr下藉著將基板暴露至在由O2 (以200 sccm提供)所構成的處理氣體中所形成的電漿。電漿係利用13.56 MHz RF頻率及每片300 mm基板400 W的功率形成。在鈍化之後,以氬吹淨處理室,藉著將基板暴露至由10 sccm BCl3 (以10 sccm提供)、190sccm Cl2 (以190 sccm提供)及氦(以200 sccm提供)所構成之處理氣體中所形成的電漿以在40度C及10 mTorr下蝕刻錫氧化物。電漿係利用13.56 MHz RF頻率及每片300 mm基板400 W的功率形成。接著吹淨處理室,並以交替方式重覆鈍化與蝕刻步驟(每一步驟後進行吹淨)直到移除錫氧化物之間隙壁底腳為止。
在另一態樣中,提供一種設備(如文中所述的任何蝕刻工具),其中該設備包含:(a)一處理室,具有一半導體基板用的一支撐件及將一或多種反應物導入該處理室中的一入口;(b)一控制器,包含用於下列者的複數程式指令:(i)使該半導體基板上的一含矽層對一錫氧化物蝕刻化學品鈍化;(ii)使該半導體基板上的一錫氧化物受到蝕刻;及(iii)以交替方式重覆(i)與(ii)。可更進一步程式化該控制器以進行任何文中所述的方法。額外實施例
文中所述的設備與處理可與微影圖案化設備或處理一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或處理。一般而言,雖然沒有必要,但此些設備/處理會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一工作件即基板上;(2)利用熱板、或爐管、或UV固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。
51:子層 53:膜層/碳層 55:錫氧化物層/錫中間層 56:下層 57:光阻 101:模蕊 103:蝕刻停止層(ESL) 105:目標層 107:膜層 109:錫氧化物層 201:操作 203:操作 205:操作 301:目標層 302:矽氧化物外層 303:蝕刻停止層(ESL) 305:模蕊/突出特徵部 307:錫氧化物層 309:鈍化層 400:設備 401:室壁 402:上子室 403:下子室 411:窗 417:夾頭 419:晶圓 421:匹配電路 422:接口 423:RF電源 424:處理室 425:連接件 427:連接件 430:系統控制器 433:線圈 440:泵浦 441:RF電源 449a:法拉第屏 450:格柵 460:主氣體流動入口 470:側氣體流動入口 520a-520d:處理模組 522:機器人 524:末端執行器 526:晶圓 530:氣鎖 532:前端機器人 534:前開口標準艙 536:刻面 538:真空傳送模組 540:大氣傳送模組 542:加載接口模組 544:對準裝置 601:操作 603:操作 605:操作 701:子層 703:材料層 705:錫氧化物 801:操作 803:操作 805:操作 901:子層 903:錫氧化物層 905:含矽材料 1001:操作 1003:操作 1005:操作 1301:操作 1303:操作 1305:操作 1307:操作 4401:操作 4403:操作 4405:操作
圖1A-1F顯示受到處理之半導體基板的概略橫剖面圖,處理涉及間隙壁形成。
圖2為根據文中所提供之一實施例之方法的製程流程圖。
圖3A-3E顯示根據文中所提供之一實施例受到處理之半導體基板的概略橫剖面圖。
圖4為根據文中所提供之一實施例之錫氧化物間隙壁之形成方法的製程流程圖。
圖5A-5C顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
圖5D-5G顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
圖5H-5K顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
圖5L-5O顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
圖6為根據文中所提供之一實施例之半導體處理方法的製程流程圖。
圖7A-7C顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
圖8為根據文中所提供之一實施例之方法的製程流程圖。
圖9A-9C顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
圖10為根據文中所提供之一實施例之方法的製程流程圖。
圖11為一設備概圖,此設備係適合用以利用文中所提供的蝕刻化學品蝕刻錫氧化物。
圖12顯示根據文中所提供之一實施例之多站處理系統的概圖。
圖13為根據文中所提供之一實施例之方法的製程流程圖。
圖14A-14C顯示根據文中所提供之一實施例之受到處理之半導體基板的概略橫剖面圖。
1301:操作
1303:操作
1305:操作
1307:操作

Claims (21)

  1. 一種半導體基板的處理方法,該方法包含: (a)提供一半導體基板,該半導體基板具有一含矽層上方的複數突出特徵部,其中該半導體基板包含在該複數突出特徵部處之一受到暴露之錫氧化物及該含矽層之一受到暴露之含矽材料; (b)使該受到暴露之含矽材料對一錫氧化物蝕刻化學品鈍化; (c)使用該錫氧化物蝕刻化學品蝕刻該受到暴露之錫氧化物;及 (d)重覆操作(b)與(c),俾以交替方式進行操作(b)與(c)。
  2. 如請求項1之半導體基板的處理方法,其中該受到暴露之含矽材料係選自由非晶矽、矽氧化物、SiON、SiOC、SiONC、SiC、及SiN所構成的族群。
  3. 如請求項1之半導體基板的處理方法,其中(c)包含使用一基於氯的蝕刻化學品蝕刻該錫氧化物,其包含將該半導體基板暴露至選自於由Cl2 、BCl3 、及其組合所構成之族群之一經電漿活化的含氯反應物。
  4. 如請求項1之半導體基板的處理方法,其中(c)包含利用一基於氫的蝕刻化學品蝕刻該錫氧化物,其導致形成一錫的氫化物。
  5. 如請求項1之半導體基板的處理方法,其中(c)包含藉著使該半導體基板與選自由H2 、HBr、NH3 、H2 O、碳氫化合物、及其組合所構成之族群的一經電漿活化之含氫反應物接觸,利用一基於氫的蝕刻化學品蝕刻該錫氧化物。
  6. 如請求項1之半導體基板的處理方法,其中(c)包含利用一基於氯的蝕刻化學品蝕刻該錫氧化物,其包含將該半導體基板暴露至包含一含氯反應物及一稀釋氣體之一經電漿活化的處理氣體,該含氯反應物係選自由Cl2 、BCl3 、及其組合所構成的族群,該稀釋氣體係選自由氦、氖、氬、氙、及其組合所構成的族群。
  7. 如請求項1之半導體基板的處理方法,其中(b)包含以一含氧反應物處理該基板。
  8. 如請求項1之半導體基板的處理方法,其中(b)包含以一經電漿活化之含氧反應物處理該基板。
  9. 如請求項1之半導體基板的處理方法,其中(b)包含以一處理氣體中所形成的一電漿處理該基板,該處理氣體包含選自下列者所構成之族群的一氣體:O2 、O3 、SO2 、及CO2
  10. 如請求項1之半導體基板的處理方法,其中(b)係於(c)之前進行。
  11. 如請求項1之半導體基板的處理方法,其中(c)係於(b)之前進行。
  12. 如請求項1之半導體基板的處理方法,其中進行(b)與(c)介於2–50次之間之次數。
  13. 如請求項1之半導體基板的處理方法,其中該複數突出特徵部包含複數水平表面及複數側壁,其中該方法減少該複數突出特徵部之複數側壁處的一錫氧化物底腳。
  14. 如請求項1之半導體基板的處理方法,其中該含矽材料係選自由非晶矽、矽氧化物、SiON、SiOC、SiONC、SiC、及SiN所構成的族群,其中(b)中的該鈍化步驟包含以一經電漿活化之含氧反應物處理該基板 ,其中(c)包含利用一基於氯的蝕刻化學品蝕刻該錫氧化物,其包含將該半導體基板暴露至選自由Cl2 、BCl3 、及其組合所構成之族群的一經電漿活化之含氯反應物。
  15. 如請求項1之半導體基板的處理方法,其中(b)包含以一含氮反應物處理該半導體基板。
  16. 一種半導體基板之處理設備,該設備包含: (a)一處理室,具有該半導體基板用的一支撐件及用以將一或多種反應物導入至該處理室中的一入口;及 (b)一控制器,包含用於下列者的複數程式指令: (i)使該半導體基板上的一含矽層對一錫氧化物蝕刻化學品鈍化; (ii)使該半導體基板上的一錫氧化物受到蝕刻;及 (iii)以交替方式重覆(i)與(ii)。
  17. 如請求項16之半導體基板之處理設備,其中(i)包含以一經電漿活化之含氧反應物處理該半導體基板。
  18. 如請求項16之半導體基板之處理設備,其中(i)包含以一經電漿活化之O2 處理該半導體基板。
  19. 如請求項16之半導體基板之處理設備,其中(ii)包含利用一基於氯的化學品蝕刻該錫氧化物。
  20. 如請求項16之半導體基板之處理設備,其中(ii)包含利用一基於氫的化學品蝕刻該錫氧化物。
  21. 如請求項16之半導體基板之處理設備,其中該複數程式指令包含以交替方式進行(i)與(ii)介於2–50次之間之次數的指令。
TW109121292A 2019-06-27 2020-06-23 交替蝕刻與鈍化製程 TW202115787A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962867797P 2019-06-27 2019-06-27
US62/867,797 2019-06-27

Publications (1)

Publication Number Publication Date
TW202115787A true TW202115787A (zh) 2021-04-16

Family

ID=74061047

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121292A TW202115787A (zh) 2019-06-27 2020-06-23 交替蝕刻與鈍化製程

Country Status (6)

Country Link
US (3) US11551938B2 (zh)
JP (2) JP7320085B2 (zh)
KR (2) KR102643106B1 (zh)
CN (2) CN115565867A (zh)
TW (1) TW202115787A (zh)
WO (1) WO2020263757A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI783853B (zh) * 2021-06-16 2022-11-11 日商鎧俠股份有限公司 半導體裝置之製造方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
CN113016053A (zh) * 2018-11-16 2021-06-22 朗姆研究公司 气泡缺陷减少
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
TW202311555A (zh) * 2021-04-21 2023-03-16 美商蘭姆研究公司 最小化錫氧化物腔室清潔時間

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
JPS62179774A (ja) 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2593305B2 (ja) 1987-02-02 1997-03-26 日本ペイント株式会社 ポジ型感光性樹脂組成物
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
JP3001894B2 (ja) 1988-09-30 2000-01-24 グンゼ株式会社 多層薄膜素子のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
RU2053584C1 (ru) 1992-05-26 1996-01-27 Научно-исследовательский институт измерительных систем Способ формирования топологического рисунка пленки диоксида олова
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
DE4337309A1 (de) 1993-08-26 1995-03-02 Leybold Ag Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
AU2001259119A1 (en) 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
JP2003068155A (ja) 2001-08-30 2003-03-07 Ulvac Japan Ltd 透明導電性膜のドライエッチング方法
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
WO2004040649A1 (ja) 2002-11-01 2004-05-13 Semiconductor Energy Laboratory Co., Ltd. 半導体装置および半導体装置の作製方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
WO2005076292A1 (ja) 2004-02-09 2005-08-18 Asahi Glass Company, Limited 透明電極の製造方法
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) * 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
JP4609335B2 (ja) 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US20100320457A1 (en) 2007-11-22 2010-12-23 Masahito Matsubara Etching solution composition
JP2011507131A (ja) 2007-12-06 2011-03-03 インテバック・インコーポレイテッド パターン化媒体を商業的に製造するシステム及び方法
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN103456794B (zh) 2008-12-19 2016-08-10 株式会社半导体能源研究所 晶体管的制造方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
KR101311621B1 (ko) 2009-05-20 2013-09-26 가부시끼가이샤 도시바 요철 패턴 형성 방법
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
JP6015893B2 (ja) 2012-02-28 2016-10-26 国立研究開発法人産業技術総合研究所 薄膜トランジスタの製造方法
WO2013141232A1 (ja) 2012-03-23 2013-09-26 三洋電機株式会社 太陽電池及びその製造方法
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
WO2014010310A1 (ja) 2012-07-10 2014-01-16 シャープ株式会社 半導体素子の製造方法
WO2014039420A1 (en) 2012-09-04 2014-03-13 Matheson Tri-Gas, Inc. In-situ tco chamber clean
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9171703B2 (en) 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) * 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US9640371B2 (en) 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US11114742B2 (en) 2014-11-25 2021-09-07 View, Inc. Window antennas
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
TWI625784B (zh) 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
WO2016172116A1 (en) * 2015-04-20 2016-10-27 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
WO2016210299A1 (en) 2015-06-26 2016-12-29 Tokyo Electron Limited GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US20220005694A1 (en) 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9997369B2 (en) 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
CN108321079B (zh) 2017-01-16 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
KR102630349B1 (ko) 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
KR20200123482A (ko) 2018-03-19 2020-10-29 램 리써치 코포레이션 챔퍼리스 (chamferless) 비아 통합 스킴 (scheme)
WO2019216092A1 (ja) 2018-05-08 2019-11-14 ソニーセミコンダクタソリューションズ株式会社 酸化物半導体膜のエッチング方法および酸化物半導体加工物ならびに電子デバイス
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
TW202212012A (zh) 2020-06-15 2022-04-01 美商蘭姆研究公司 在腔室清潔中的錫氧化物的移除

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI783853B (zh) * 2021-06-16 2022-11-11 日商鎧俠股份有限公司 半導體裝置之製造方法

Also Published As

Publication number Publication date
US11551938B2 (en) 2023-01-10
JP7320085B2 (ja) 2023-08-02
WO2020263757A1 (en) 2020-12-30
JP2022539991A (ja) 2022-09-14
US20240087904A1 (en) 2024-03-14
CN114270479B (zh) 2022-10-11
US20230197459A1 (en) 2023-06-22
KR20240031441A (ko) 2024-03-07
KR102643106B1 (ko) 2024-02-29
US11848212B2 (en) 2023-12-19
CN114270479A (zh) 2022-04-01
CN115565867A (zh) 2023-01-03
US20220208551A1 (en) 2022-06-30
KR20220025045A (ko) 2022-03-03
JP2023134781A (ja) 2023-09-27

Similar Documents

Publication Publication Date Title
TWI828619B (zh) 半導體裝置製造中之氧化錫膜
US20210265173A1 (en) Tin oxide mandrels in patterning
TW202115787A (zh) 交替蝕刻與鈍化製程
TWI838003B (zh) 半導體裝置製造中之氧化錫膜