KR101311621B1 - 요철 패턴 형성 방법 - Google Patents

요철 패턴 형성 방법 Download PDF

Info

Publication number
KR101311621B1
KR101311621B1 KR1020117027506A KR20117027506A KR101311621B1 KR 101311621 B1 KR101311621 B1 KR 101311621B1 KR 1020117027506 A KR1020117027506 A KR 1020117027506A KR 20117027506 A KR20117027506 A KR 20117027506A KR 101311621 B1 KR101311621 B1 KR 101311621B1
Authority
KR
South Korea
Prior art keywords
layer
forming
pattern
etching
uneven
Prior art date
Application number
KR1020117027506A
Other languages
English (en)
Other versions
KR20120024616A (ko
Inventor
도모따까 아리가
유우이찌 오오사와
쥰이찌 이또
요시나리 구로사끼
사오리 가시와다
도시로 히라오까
미노루 아마노
사또시 야나기
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR20120024616A publication Critical patent/KR20120024616A/ko
Application granted granted Critical
Publication of KR101311621B1 publication Critical patent/KR101311621B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Abstract

요철 패턴의 볼록부의 숄더부의 드롭핑을 가급적으로 억제하는 것을 가능하게 한다.
요철 패턴이 형성되는 기재(2, 4) 상에 볼록부를 갖는 패턴을 구비한 가이드 패턴(6)을 형성하는 공정과, 제1 금속 원소 및 유금속 원소로부터 선택되는 적어도 1개의 원소를 포함하는 제1 층(8)과, 상기 제1 금속 원소와 상이한 제2 금속 원소를 포함하는 제2 층(10)이 적층된 적층 구조를 갖는 형성층을 가이드 패턴 상에 형성하는 공정과, 형성층을 에칭함으로써 볼록부의 측부에만 형성층을 선택적으로 남겨두는 공정과, 가이드 패턴을 제거하는 공정과, 남겨진 형성층을 마스크로 하여 기재를 에칭함으로써 기재에 요철 패턴을 형성하는 공정을 포함하고 있는 것을 특징으로 한다.

Description

요철 패턴 형성 방법{METHOD FOR FORMING UNEVEN PATTERN}
본 발명은 요철 패턴 형성 방법에 관한 것이다.
최근 들어, 주로 반도체 산업 분야에 있어서 미세 패턴의 형성 방법의 연구 개발이 활발히 행해지고 있다.
종래부터, 높은 양산성을 갖는 노광법에 의해 패턴이 형성되고 있고, 광원의 단파장화에 의해 미세화를 진행시켜 왔다. 그러나, 이 노광법에는 마스크 폭을 미세화할 수 없는 점, 마스크 치수의 제어성이 나쁜 점, 마스크 폭에 변동이 발생하는 등의 문제가 있었다. 따라서, 이와 같은 문제가 발생하지 않는, 측벽 전사에 의한 패턴 형성이 주목받게 되었다(예를 들어, 특허문헌 1 참조). 이 측벽 전사법은 노광법 등에 의해 형성한 가이드 패턴의 변 가장자리 상에 요철 패턴을 형성하기 위한 형성층을 형성하고, 에칭에 의해 형성층을 트리밍함으로써, 이 형성층을 선택적으로 잔존시켜 요철 패턴을 형성하는 방법이다. 이 측벽 전사법은 노광법의 미세화 한계를 초과한 패턴 형성이 가능한 것이 측벽 전사의 장점 중 하나이다.
그러나, 이 측벽 전사법에서는 형성층의 트리밍에 있어서 수직에 가까운 모서리를 갖는 직사각형의 요철 패턴의 형성이 바람직하고, 모서리가 결락되지 않도록 하는 것이 필요하게 된다. 직사각형의 요철 패턴의 형성에는 높은 에칭 이방성이 요구되고, 주로 건식 에칭이 사용된다.
건식 에칭은 화학 반응을 이용한 화학적 에칭 성분과 입자의 충돌 에너지를 이용한 물리적 에칭 성분 둘 다를 가지고 있다. 그리고, 화학적 에칭 성분은 등방적으로 에칭이 진행되고, 물리적 에칭 성분은 이방적으로 에칭이 진행된다. 등방적으로 에칭이 진행되면, 높은 이방성이 얻어지지 않는다. 그로 인해, 높은 이방성을 얻기 위해서는 화학적 에칭 성분을 억제하는 것이 중요하게 된다.
측벽 전사에서는 요철 패턴을 형성하기 위한 형성층은 단일 재료로 형성되기 때문에, 형성층의 홈 측면과 홈 저면은 동일 재료로 형성된다. 그로 인해, 홈 측면과 홈 저면에 있어서 동일 정도의 화학적 에칭이 발생하여, 에칭 이방성이 저하된다. 그리고, 홈이 얕은 부분에서는 등방성 에칭에 의해 에칭 방향에 대하여 수직인 성분의 피에칭면이 발생하고, 이 면에서는 물리적 에칭의 영향이 커져 에칭 방향에 대하여 수직인 에칭이 진행되어, 숄더부의 드롭핑(dropping of shoulder portions)이 발생한다. 따라서, 형성층의 홈 측면과 홈 저면에 있어서 화학적 에칭이 동일 정도 발생하여, 숄더부의 드롭핑이 발생하는 것이 측벽 전사법의 과제이다. 숄더부의 드롭핑이란, 형성층의 홈 저면 제거 시에 있어서 형성층 상부의 모서리가 제거되어 둥그스름해진 것이다. 일반적으로, 반도체 장치의 제조에서의 에칭용 마스크 형상, 임프린트의 패턴 형상, MTJ 가공 시의 에칭용 마스크 형상에서는 높은 직사각형성이 선호된다. 그러나, 상기 숄더부의 드롭핑이 발생한 경우, 요철 패턴의 직사각형성이 얻어지지 않는다는 문제가 발생한다.
형성층은 막 응력이 상이한 가이드 패턴의 변 가장자리 상에 형성되기 때문에, 형성층과 가이드 패턴과의 계면에 내부 응력이 발생한다. 내부 응력의 발생으로 인해, 가이드 패턴 제거 후에 형성층에 휨이 발생한다. 형성층에 휨이 발생한 경우, 형성층 또는 형성층으로부터 전사해서 얻어지는 패턴의 형상이 변화하는 문제가 발생한다. 따라서, 가이드 패턴과 형성층과의 계면에 발생하는 응력에 기인하여 형성층에 휨이 발생하는 것이 측벽 전사의 과제이다.
일본특허공개 평6-77180호 공보
상술한 바와 같이, 종래의 측벽 전사법에서는 요철 패턴의 볼록부의 숄더부가 드롭핑된다는 문제가 있었다.
본 발명은 요철 패턴의 볼록부의 숄더부의 드롭핑을 가급적으로 억제할 수 있는 요철 패턴 형성 방법을 제공한다.
본 발명의 제1 형태에 따른 요철 패턴 형성 방법은, 기재 상에 볼록부를 갖는 가이드 패턴을 형성하는 공정과, 제1 금속 원소 및 유금속(類金屬) 원소로부터 선택되는 적어도 1개의 원소를 포함하는 제1 층과, 제2 금속 원소를 포함하는 제2 층이 적층된 적층 구조를 포함하는 형성층을 상기 가이드 패턴 상에 형성하는 공정으로서, 상기 제2 금속 원소는 상기 제1 층이 상기 제1 금속 원소를 포함하는 경우에 상기 제1 금속 원소와 다른, 공정과, 상기 형성층을 에칭함으로써 상기 볼록부의 측부에만 상기 형성층을 선택적으로 남겨두는 공정과, 상기 가이드 패턴을 제거하는 공정과, 남겨진 상기 형성층을 마스크로 하여 상기 기재를 에칭함으로써 상기 기재에 요철 패턴을 형성하는 공정을 포함하고 있는 것을 특징으로 한다.
또한, 본 발명의 제2 형태에 따른 임프린트 스탬퍼의 제작 방법은, 제1 형태에 따른 요철 패턴 형성 방법을 사용하여 임프린트 스탬퍼를 형성하는 공정을 구비하고 있는 것을 특징으로 한다.
또한, 본 발명의 제3 형태에 따른 자기 기록 매체의 제조 방법은, 제2 형태에 따른 임프린트 스탬퍼의 제작 방법을 사용하여 제작된 임프린트 스탬퍼를 사용하여 자기 기록 매체를 형성하는 공정을 구비하고 있는 것을 특징으로 한다.
본 발명에 따르면, 요철 패턴의 볼록부의 숄더부의 드롭핑을 가급적으로 억제할 수 있다.
도 1의 (a) 내지 도 1의 (c)는 제1 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 2의 (a) 내지 도 2의 (c)는 제1 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 3의 (a) 내지 도 3의 (c)는 제1 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 4는 산화실리콘과 산화알루미늄과의 에칭 레이트의 차이를 설명하는 도면.
도 5는 제1 실시형태의 형성 방법에 의해 형성되는 형성층의 층 두께 방향에서의 주요한 원소종의 분포를 나타내는 도면.
도 6은 형성층의 상층의 필요 막 두께를 나타내는 도면.
도 7의 (a) 내지 도 7의 (d)는 자기 기록 매체의 일 제조 방법을 나타내는 공정 단면도.
도 8의 (a) 내지 도 8의 (f)는 자기 기록 매체의 일 제조 방법을 나타내는 공정 단면도.
도 9의 (a) 내지 도 9의 (d)는 자기 기록 매체의 다른 제조 방법을 나타내는 공정 단면도.
도 10의 (a) 내지 도 10의 (c)는 제2 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 11은 제3 실시형태의 형성 방법에 의해 형성되는 형성층의 층 두께 방향의 층 분포를 나타내는 도면.
도 12는 제3 실시형태의 형성 방법에 의해 형성되는 형성층의 층 두께 방향의 주요한 원소종의 분포를 나타내는 도면.
도 13의 (a) 내지 도 13의 (c)는 제4 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 14의 (a) 내지 도 14의 (c)는 제4 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 15의 (a) 내지 도 15의 (b)는 제4 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 16은 제4 실시형태의 형성 방법에 의해 형성되는 형성층의 층 두께 방향에서의 주원소 분포를 나타내는 도면.
도 17의 (a) 내지 도 17의 (c)는 제5 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 18의 (a) 내지 도 18의 (c)는 제5 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 19의 (a) 내지 도 19의 (b)는 제5 실시형태에 따른 요철 패턴 형성 방법의 공정 단면도.
도 20은 형성층의 상층의 두께와 트리밍 전체의 이방성과의 관계를 나타내는 도면.
이하, 본 발명의 실시형태에 대하여 도면을 참조하여 설명한다.
(제1 실시형태)
본 발명의 제1 실시형태에 따른 요철 패턴 형성 방법을 도 1의 (a) 내지 도 3의 (c)에 나타낸다.
우선, 도 1의 (a)에 도시한 바와 같이, 요철 패턴이 형성되는 기재를 준비한다. 기재로서는 실리콘 기판 자신 또는 실리콘 기판(2) 상에 예를 들어 비정질 실리콘으로 이루어지는 하지층(4)이 형성된 것을 들 수 있다. 본 실시형태에 있어서는 후자의 기재를 사용한다. 계속해서, 도 1의 (b)에 도시한 바와 같이, 기재 상에 볼록부와 오목부가 패턴 형상으로 배치된 가이드 패턴(코어재)(6)을 형성한다. 이 가이드 패턴(6)은 요철 패턴을 형성하기 위한 층의 코어재가 된다. 가이드 패턴(6)의 형성 방법의 하나의 구체예로서, 하지층(4) 상에 CVD(Chemical Vapor Deposition)법 또는 스퍼터링법으로 카본층을 형성하고, 이 카본층 상에 레지스트를 도포하고, 그 후 베이킹함으로써 레지스트층을 형성한다. 그리고, 이 레지스트층을 리소그래피 기술에 의해 패터닝함으로써 레지스트 패턴을 형성한다. 그리고 이 레지스트 패턴을 마스크로 하여 상기 카본층을 건식 에칭함으로써 패터닝하여, 카본으로부터 이루어지는 가이드 패턴을 형성한다. 계속해서, 상기 레지스트 패턴을 제거한 후, 산소 애싱법을 사용하여 카본으로부터 이루어지는 가이드 패턴의 선 폭을 가늘게 하는 방법을 들 수 있다. 가이드 패턴 형상의 일례로서, 예를 들어 선폭이 5nm, 피치가 20nm, 높이가 10nm인 형상을 들 수 있다. 또한, 가이드 패턴의 형성 방법의 다른 구체예로서는, 예를 들어 스핀 코팅으로 도포한 반사 방지막을 리소그래피 기술에 의해 패터닝하는 방법, 임프린트법에 의해 수지 패턴을 형성하는 방법 또는 자기 조직화에 의해 패턴을 형성하는 방법 등을 들 수 있다.
이어서, 도 1의 (c)에 도시한 바와 같이, 가이드 패턴(6)을 덮도록 요철 패턴을 형성하기 위한, 금속 원소 또는 유금속 원소로부터 선택되는 적어도 1종의 내에칭성 원소를 포함하는 하층(8)을 형성한다. 이 하층(8)은, ALD(Atomic Layer Deposition)법, CVD법 또는 스퍼터링법 등의 방법을 사용하여 형성된다. 후술하는 바와 같이, ALD법을 사용하는 것이 바람직하다. 예를 들어, 전구체로서 트리스디메틸아미노실란 또는 비스디메틸아미노실란을 사용하여 ALD법에 의해 가이드 패턴(6)을 덮도록 하층(8)으로서, 예를 들어 막 두께가 4nm인 산화실리콘층을 형성한다.
이어서, 도 2의 (a)에 도시한 바와 같이, 하층이 되는 산화실리콘층(8) 상에 예를 들어 트리메틸알루미늄 등의 전구체를 사용하여 ALD법에 의해 요철 패턴을 형성하기 위한, 금속 원소를 포함하는 상층으로서, 예를 들어 막 두께가 1nm인 산화알루미늄층(10)을 형성한다. 또한, 상기 재료 이외에도, 하층으로서 탄탈, 상층으로서 산화알루미늄을 들 수 있다. 즉, 하층이 금속을 포함하는 경우에는, 상층에 포함되는 금속과 상이한 금속인 것이 바람직하다.
본 실시형태에 있어서는, 요철 패턴을 형성하기 위한 형성층으로서, 하층(8)에 산화실리콘층을 사용하고, 상층(10)에 산화알루미늄층을 사용하고 있다. 이 하층(8) 및 상층(10)을 형성한 직후의 형성층의 층 두께 방향에서의 주원소의 분포를 도 5에 나타낸다. 도 5로부터 알 수 있는 바와 같이, 하층(8)과 상층(10)과의 계면 부근에서는 분자의 확산에 의한 혼합층이 형성됨으로써 주원소의 분포는 구배를 갖는다.
이어서, 도 2의 (b)에 도시한 바와 같이 상층 트리밍을 행한다. 여기서, 상층 트리밍이란, 요철 패턴을 형성하기 위한 상층(10)을 선택적으로 제거하는 것을 의미한다. 상층 트리밍 방법으로서는, 이방성 에칭, 예를 들어 아르곤 이온 밀링 또는 예를 들어 3불화메탄가스 또는 4불화메탄가스를 사용하여 평행 평판에서의 RIE(Reactive Ion Etching)법을 사용하여, 산화알루미늄층(10)을 선택적으로 제거하여 하층이 되는 산화실리콘층(8)의 측부에만 산화알루미늄층(10a)을 남겨두고, 산화실리콘층(8)의 상면, 즉 산화실리콘층(8)의 볼록부의 상면 및 홈부의 상면을 노출시킨다.
이어서, 도 2의 (c)에 도시한 바와 같이 하층 트리밍을 행한다. 여기서, 하층 트리밍이란, 요철 패턴을 형성하기 위한 하층(8)의 일부를 선택적으로 제거하는 것을 의미한다. 본 실시형태에서는, 상층 트리밍으로 노출된 요철 패턴을 형성하기 위한 하층(8) 중 홈부의 산화실리콘층을 선택적으로 제거하고, 볼록부의 산화실리콘층(8a)을 선택적으로 남겨둔다.
제거 방법으로서, 예를 들어 3불화메탄가스 또는 4불화메탄가스를 사용하여 평행 평판에서의 RIE에 의해 요철 패턴을 형성하기 위한 하층(8)을 선택적으로 제거하는 방법을 들 수 있다. RIE의 조건으로서, 예를 들어 가스 조성은 3불화메탄, 가스압은 1Pa, 바이어스 전력은 150W, 에칭 시간은 10초를 들 수 있다.
본 실시형태에서는 요철 패턴을 형성하기 위한 상층(10)은 산화알루미늄으로 형성되고, 하층(8)은 산화실리콘으로 형성되어 있다. 그리고, 상층 트리밍으로서, 3불화메탄 또는 4불화메탄을 사용한 RIE를 행한다. 그러면, 홈부의 저면은 하층 트리밍에서의 에칭 내성이 낮은 산화실리콘이 노출되어 있지만, 홈부의 측면은 에칭 내성이 높은 산화알루미늄으로 덮인 구조가 된다. 그 결과, 하층 트리밍에서의 에칭은 홈부의 저면을 선택적으로 진행하지만, 홈부의 측면에서는 거의 진행되지 않게 되어, 홈부의 측면에서의 숄더부의 드롭핑을 억제할 수 있다.
본 실시형태에서는 요철 패턴을 형성하기 위한 형성층은 층 두께 방향을 따라 주원소가 변화되고 있는 점에 기인하여 에칭 내성이 다르다. 하층 트리밍에 있어서, 상층측일수록 에칭 내성이 높고, 하층측일수록 에칭 내성이 낮아지도록 형성층을 형성한다. 이 형성층에 대하여 상층 트리밍을 행하면, 홈부의 저면과 홈부의 측면에서 층 두께 차이가 발생한다. 발생한 층 두께 차이에 의해, 홈부의 측면에 비해 홈부의 저면의 에칭 내성이 낮아진다. 내성이 낮은 홈부의 저면은 에칭이 진행되기 쉽지만, 내성이 높은 홈부의 측면은 에칭이 진행되기 어렵다. 그 결과, 숄더부의 드롭핑이 억제된다. 즉, 본 실시형태에서는 에칭 내성의 재료 선택성을 이용하여 숄더부의 드롭핑을 억제하고 있다.
또한, 상기 숄더부의 드롭핑의 억제는, 하층 에칭에 있어서 상층 재료와 하층 재료에서 에칭 내성의 재료 선택성이 높은 것뿐만 아니라, 상층의 에칭 각도 의존성이 높은 것이나, 상층을 막 두께 제어성이 높고 얇게 형성함으로써 실현 가능하다.
이어서, 하층 에칭에 있어서 상층 재료와 하층 재료에서 에칭 내성의 재료 선택성을 높게 얻는 것에 대하여 설명한다. 미세 패턴을 에칭하는 방법으로서 일반적인 RIE에서는, 반응성이 높은 상태에 있는 가스 분자, 즉 라디칼이 피에칭 재료의 주로 주원소와 화학 반응을 일으켜, 휘발성이 높은 화합물로 변화하여 휘발되는 것이 에칭 진행의 주요인의 하나이다. 그로 인해, 가스 분자와의 반응성 및 생성되는 화합물의 휘발성에 의해 에칭의 진행이 크게 달라진다. 따라서, 상기 반응성 및 상기 휘발성의 차이가 얻어지도록 가스 종류 및 피에칭 재료의 주원소를 선택함으로써, 피에칭 재료의 에칭 내성의 재료 선택성을 높이는 것이 가능하다.
본 실시형태에서는 하층(8)으로서 산화실리콘을, 상층으로서 산화알루미늄을 사용했지만, 이들 재료에 관하여 에칭 내성의 재료 선택성의 관점에서 설명한다. 3불화메탄 또는 4불화메탄에 의한 산화실리콘의 RIE에서는, 하기 식(1)에 나타내는 바와 같이 불소와 하층(8)의 주원소인 실리콘이 결합하여 휘발함으로써 에칭이 진행된다.
SiO2+4F→SiF4+O2 (1)
한편, 산화알루미늄에서는 하기 식(2)에 나타내는 바와 같이 상층(10)의 주원소인 알루미늄과 불소가 결합한다.
Al+3F→AlF3 (2)
그러나, 이 알루미늄 화합물은 휘발성이 낮아, RIE가 행해지는 분위기 하에서는 거의 휘발되지 않기 때문에 거의 에칭이 진행되지 않는다. 실제로 에칭 레이트를 측정한 결과로부터도, 산화실리콘과 산화알루미늄에서 에칭 내성에 차이가 있음을 확인할 수 있었다(도 4 참조). 도 4는, 횡축에 RIE에서의 RF 방전을 발생하기 위한 코일 전력을 나타내고, 종축은 에칭 레이트를 나타낸다.
즉, 주원소가 실리콘인 재료와 주원소가 알루미늄인 재료에서는 3불화메탄가스 또는 4불화메탄가스 중의 불소와 결합하여 생성되는 화합물의 휘발성에 차이가 있고, 이 휘발성의 차이에 기인하여 에칭 내성의 재료 선택성이 얻어진다.
하층(8)을 산화실리콘으로 형성하고, 상층(10)을 산화알루미늄으로 형성하고, 3불화메탄 또는 4불화메탄에 의한 RIE를 행한 본 실시형태에서는 상기 화합물의 휘발성의 차이에 의한 에칭 내성의 재료 선택성이 얻어진다.
이어서, 상층(10)의 에칭 각도 의존성에 대하여 설명한다. 상층 트리밍에서는 가이드 패턴의 측부에 형성된 상층은 남겨두고, 홈부의 저면에 형성된 상층을 선택적으로 에칭한다.
가이드 패턴의 측부에 형성된 상층은 막면에 대하여 대략 수평의 각도로 에칭되고, 한편 홈부의 저면에 형성된 상층은 막면에 대하여 대략 수직의 각도로 에칭된다. 따라서, 상층(10)은 대략 수평 각도에서의 에칭에 대하여 에칭 내성이 높고, 대략 수직 각도에서의 에칭에 대하여 에칭 내성이 낮은 것이 바람직하다. 그리고, 에칭 각도가 대략 수평과 대략 수직에서의 에칭 내성의 차이가 클수록, 상층 트리밍 및 트리밍 전체의 이방성이 향상된다. 에칭의 각도 의존성은 피에칭 재료에 따라 다르다. 따라서, 상층은 에칭의 각도 의존성이 높은 재료를 선택할 필요가 있다.
산화알루미늄에서는 에칭의 각도 의존성이 얻어지고 있다. 상층(8)에 에칭의 각도 의존성이 얻어지는 산화알루미늄을 사용한 본 실시예에서는 트리밍 전체의 이방성이 높게 얻어진다. 또한, 산화알루미늄을 상층에 사용하고 산화실리콘을 하층에 사용한 경우, 상층 트리밍과 하층 트리밍의 양쪽 트리밍에 있어서 RIE의 에칭 가스로서 3불화메탄가스 또는 4불화메탄가스를 사용 가능하기 때문에, 동일한 머신으로 에칭 가스를 변화시키지 않고 연속적으로 양쪽 트리밍이 가능하다. 그 결과, 비용 절감에 기여한다.
이어서, 요철 패턴을 형성하기 위한 형성층의 상층(10)과 하층(8)의 층 두께에 대하여 설명한다. 요철 패턴의 홈부의 측벽에 형성되는 상층(10)은 상층 트리밍 및 하층 트리밍의 양쪽 공정을 행한 후에도 잔존할 필요가 있다. 즉, 상층(10)의 막 두께 dSP는 상층의 트리밍 및 하층의 트리밍의 양쪽 공정에서의 사이드 에칭의 길이보다 두꺼울 필요가 있다. 상층(10) 및 하층(8)의 층 두께를 에칭 이방성 및 피에칭재의 재료에 의한 에칭 선택비로부터 결정한다.
상층(10)의 층 두께 하한값 dSP , min는 이하와 같이 구한다. 상층(10) 및 하층(8)의 트리밍이 종료했을 때에 가이드 패턴(6)의 측면에 형성된 상층(10a)이 잔존하는 조건을 구한다. 상층(10)의 층 두께 dSP는 상층(10) 및 하층(8)의 트리밍에 의해 사이드 에칭되는 길이보다 두꺼울 필요가 있다. 상층(10a)이 잔존하는 조건은 다음 식(3)으로 표시된다.
Figure 112011091283379-pct00001
(3)
여기서, dSP는 상층(10)의 층 두께(nm), LSP , BT는 상층의 트리밍 공정에서의 상층(10)의 사이드 에칭 길이(nm), LSP , SWE는 하층의 트리밍 공정에서의 상층의 사이드 에칭 길이(nm)를 각각 나타낸다. 상층의 트리밍 공정에서의 상층의 사이드 에칭 길이 LSP , BT는 다음 식(4)으로 표시된다.
Figure 112011091283379-pct00002
(4)
여기서, rSP , Side , BT는 상층의 트리밍 공정에서의 상층의 가로 방향 에칭 레이트(nm/s), tBT는 상층의 트리밍 시간(s), rSP , Bottom , BT는 상층 트리밍 공정에 있어서, 홈부의 저면에 형성된 상층의 세로 방향의 에칭 레이트(nm/s), ABT는 상층 트리밍 공정에서의 에칭 이방성을 나타낸다. 하층 트리밍 공정에서의 상층의 사이드 에칭 길이 LSP , SWE는 다음 식(5)로 표시된다.
Figure 112011091283379-pct00003
(5)
여기서, rSP , Side , SWE는 하층 트리밍 공정에서의 상층의 가로 방향 에칭 레이트(nm/s), tSWE는 하층 트리밍 시간(s), rSW , Bottom , SWE는 하층의 트리밍 공정에서의, 홈부의 저면에 형성된 하층의 세로 방향 에칭 레이트(nm/s), ASWE는 하층의 트리밍 공정에서의 에칭 이방성을 나타낸다.
식(3), 식(4), 식(5)로부터, 상층(10)의 잔존 조건은 다음 식(6)으로 표시된다.
Figure 112011091283379-pct00004
(6)
하층(8)의 트리밍 공정 후에, 상층(10)과 하층(8)의 합계의 층 두께가 설계 패턴 폭 hp가 되기 위해서는
Figure 112011091283379-pct00005
(7)
여기서, dSP'는 하층(8)의 트리밍 종료시의 상층(10)의 층 두께(nm), hp는 설계 패턴 폭(nm)을 나타낸다.
하층 트리밍 후의 상층(10)의 두께 dSP'는 초기 층 두께로부터 사이드 에칭 길이를 빼면 구해지고, 다음 식(7)으로 표시된다.
Figure 112011091283379-pct00006
(8)
식(4), 식(5) 및 식(8)으로부터 다음 식(9)이 도출된다.
Figure 112011091283379-pct00007
(9)
상층(10)의 두께를 하한값으로 설계하는 경우, 즉 하층(8)의 트리밍 공정 종료와 동시에 상층(10)이 없어질 경우, 식(7)으로부터 하층(8)의 두께는 다음의 식(10)으로 표시된다.
Figure 112011091283379-pct00008
(10)
식(10)을 만족시킬 때에 상층(10)의 두께 dSP는 최소값 dSP , min이 되고, 식(9) 및 식(10)으로부터 상층(10)의 두께의 최소값 dSP , min은 다음의 식(11)로 표시된다.
Figure 112011091283379-pct00009
(11)
식(4), 식(5), 식(7) 및 식(9)으로부터 하층(8)의 층 두께는 다음의 (12)로 표시된다.
Figure 112011091283379-pct00010
(12)
상층 트리밍 및 하층 트리밍의 양쪽을 합친, 트리밍 전체의 에칭 이방성 A를 구한다. 홈 저부에서는 상층(10)과 하층(8)의 양쪽 층이 세로 방향으로 에칭된다. 홈 측부에서는 상층(10)에서 가로 방향으로 막 감소가 발생한다. 이들 세로 방향 및 가로 방향의 에칭 길이의 비로부터 트리밍 전체의 에칭 이방성 A는
Figure 112011091283379-pct00011
(13)
식(7), 식(12) 및 식(13)으로부터 트리밍 전체의 에칭 이방성 A는
Figure 112011091283379-pct00012
(14)
로 표시된다.
요철 패턴의 볼록부의 폭을 5(nm)로 했을 때의 상층(10)의 필요 막 두께를 도 6에 나타낸다. 도 6에 있어서, 횡축은 상층 트리밍 공정에서의 에칭 이방성 ABT를 나타내고, 종축은 하층 트리밍 공정에서의 에칭 이방성 ASWE를 나타낸다. 상층 트리밍 공정에서의 에칭 이방성을 4, 하층 트리밍 공정에서의 에칭 이방성을 16으로 했을 때, 요철 패턴을 형성하는 형성층의 상층(10)의 필요 막 두께는 약 0.4nm가 된다. 상층(10)의 실제의 막 두께는 필요 막 두께에 에칭 마진을 더한 막 두께가 된다.
식(14)에 기초하여 상층 막 두께와 트리밍 전체의 에칭 이방성의 관계를 구하고, 그의 관계를 도 20에 나타낸다. 도 20에 있어서, 횡축은 상층 막 두께를 나타내고, 종축은 트리밍 전체의 에칭 이방성을 나타낸다. 상층(10)이 얇을수록 트리밍 전체의 에칭 이방성은 향상된다. 따라서, 상층 막 두께는 필요 막 두께 이상의 범위로 얇은 것이 바람직하다. 또한, 이방성이 향상됨으로써, 이방성의 변동에 의한 요철 패턴의 볼록부의 폭의 변동을 억제할 수 있다. 즉, 얇은 상층을 형성함으로써, 이방성이 향상되고, 요철 패턴의 볼록부의 폭의 변동을 억제할 수 있다. 그리고, ALD는 CVD와 비교하여 막 두께 제어성이 높기 때문에 얇은 막의 형성에 유리하다. 따라서, 얇은 상층(10)의 형성에 ALD를 사용하는 것이 바람직하다. 상기 계산에 기초하여, 상층(10)의 막 두께를 1nm, 하층(8)의 막 두께를 4.5nm로 하였다. 그리고, 산화실리콘 및 산화알루미늄은 ALD로 성막 가능한 재료이다. 따라서, 상층(10)으로서 막 두께 1nm의 산화알루미늄층을, 하층(8)으로서 막 두께 4.5nm의 산화실리콘층을 ALD로 형성하는 것이 바람직하다. 또한, 후술하는 바와 같이 응력의 관점에서도 ALD법은 형성층의 형성에 유리하다고 생각된다.
하층(8)을 산화실리콘으로 형성하고, 상층(10)을 산화알루미늄으로 형성하고, 3불화메탄 또는 4불화메탄을 사용한 RIE를 행한 본 실시형태에서는 상기 화합물의 휘발성의 차이에 의한 에칭 내성의 재료 선택성이 얻어지고, 또한 하층(8)은 에칭에 대하여 각도 의존성이 얻어지고, 또한 하층(8)과 상층(10)의 양쪽 모두 막 두께 제어성이 높아 얇은 막을 형성 가능한 ALD법으로 가능한 재료이다.
하층 트리밍으로서 3불화메탄가스 및 4불화메탄가스를 사용한 RIE를 행하는 경우의 형성층의 재료 조합을 설명한다. 실리콘 화합물에 의한 하층(8) 상에 실리콘을 포함하지 않는 재료로 이루어지는 상층(10)이 형성된 다층 구조가, 요철 패턴을 형성하기 위한 형성층으로서 바람직하다.
다른 재료의 조합으로서, 하층(8)으로서는 붕소, 실리콘, 게르마늄, 비소, 안티몬, 셀레늄 또는 텔루륨 등의 유금속 원소를 주원소종으로서 포함하는 층이 사용되지만, 실리콘을 주원소종으로서 포함하는 층을 사용하는 것이 바람직하고, 예를 들어 실리콘, 산화실리콘, 질화실리콘 또는 이들의 혼합물이 사용된다. 또한, 상층(10)으로서는, 금속 원소를 주원소로서 포함하는 층, 예를 들어 산화알루미늄, 산화티타늄, 산화탄탈, 백금, 루테늄, 이리듐, 팔라듐, 구리, 코발트, 니켈 또는 이들의 혼합물을 사용할 수 있다.
ALD법은 분자를 1 분자층마다 퇴적시키는 성막 방법이다. 그로 인해, 원자층 레벨로 막 두께 제어 가능하기 때문에 폭 10nm 이하의 요철 패턴을 형성하는 경우의 상층 형성 방법으로서 ALD법은 적합하다.
종래의 측벽 전사법에서는, 가이드 패턴과, 요철 패턴을 형성하기 위한 형성층과의 계면에 응력이 발생한다. 가이드 패턴을 제거했을 때, 상기 응력에 의해 요철 패턴에 휨이 발생하여 직사각형의 패턴이 얻어지지 않게 된다.
그러나, 본 실시형태에서는, 요철 패턴을 형성하기 위한 형성층으로서, 상층(10a)과 하층(8a)에 막 응력이 상이한 재료, 즉 산화알루미늄과 산화실리콘을 사용함으로써, 상층의 막 응력과 하층의 막 응력을 균형잡히게 하여, 휨을 억제하는 것이 가능해진다. 상층이 가이드 패턴(6)에 대하여 압축 응력인 경우에는 하층이 인장 응력이 되도록 하고, 상층이 인장 응력인 경우에는 하층이 압축 응력이 되는 것과 같은 조합의 재료를 선택하는 것이 바람직하다.
예를 들어, 하층으로서, 붕소, 실리콘, 게르마늄, 비소, 안티몬, 셀레늄 또는 텔루륨 등의 유금속 원소를 주원소로서 포함하는 층 또는 탄탈의 층이 사용되지만, 실리콘을 주원소로서 포함하는 층을 사용하는 것이 바람직하고, 예를 들어 실리콘, 산화실리콘, 질화실리콘 또는 이들의 혼합물이 사용된다. 또한, 상층(10)으로서, 금속 원소를 주원소로서 포함하는 층, 예를 들어 산화알루미늄, 산화티타늄, 산화탄탈, 백금, 루테늄, 이리듐, 팔라듐, 구리, 코발트, 니켈 또는 이들의 혼합물을 사용할 수 있다.
또한, 이 휨을 억제하는 효과는, 본 실시형태와 같이 요철 패턴을 형성하는 층의 조성이 층 두께 방향으로 불연속으로 변화하는 구조뿐만 아니라, 후술하는 제3 실시형태에 나타내는 바와 같이 조성이 단계적으로 변화하는 경우에 있어서도 효과가 얻어진다.
도 2의 (c)에 있어서는, 남겨진 산화실리콘층(8a) 및 산화알루미늄층(10a)의 각각의 상면은 거의 동일면에 있다. 이에 대하여 본 발명자들은 이하와 같이 생각하고 있다. 에칭 레이트가 높은 산화실리콘층(8a)이 우선 에칭되고, 노출 면적이 큰 각 형상의 얇은 산화알루미늄층(10a)이 남는다. 그러면, 이 각 형상의 얇은 산화알루미늄층(10a)도 에칭되고, 이들이 반복됨으로써, 남겨진 산화실리콘층(8a) 및 산화알루미늄층(10a)의 각각의 상면은 거의 동일면이 된다.
본 실시형태에서는 요철 패턴을 형성하기 위한 상층(10)은 산화알루미늄으로 형성되고, 하층(8)은 산화실리콘으로 형성되어 있다. 홈부의 저면의 상층(10)을 선택적으로 제거한 후, 홈부의 저면은 RIE에 의한 에칭이 진행되기 쉬운 산화실리콘이 노출되어 있지만, 홈부의 측면은 에칭이 진행되기 어려운 산화알루미늄으로 덮인 구조가 된다. 그 결과, RIE에 의한 에칭은 홈부의 저면을 선택적으로 진행하지만, 홈부의 측면에서는 거의 진행되지 않게 되어, 홈부의 측면에서의 숄더부의 드롭핑을 억제할 수 있다. 또한, 상술한 바와 같이, 3불화메탄가스 및 4불화메탄가스를 사용한 RIE의 경우에는, 실리콘 화합물에 의한 하층 상에 실리콘을 포함하지 않는 재료로 이루어지는 상층이 형성된 다층 구조가 요철 패턴을 형성하기 위한 형성층으로서 바람직하다. 다른 재료의 조합으로서, 하층(8)으로서는 붕소, 실리콘, 게르마늄, 비소, 안티몬, 셀레늄 또는 텔루륨 등의 유금속 원소를 주원소로서 포함하는 층 또는 탄탈의 층이 사용되지만, 실리콘을 주원소로서 포함하는 층을 사용하는 것이 바람직하고, 예를 들어 실리콘, 산화실리콘, 질화실리콘 또는 이들의 혼합물이 사용된다. 또한, 상층(10)으로서는, 금속 원소를 주원소로서 포함하는 층, 예를 들어 알루미나, 산화티타늄, 산화탄탈, 백금, 루테늄, 이리듐, 팔라듐, 구리, 코발트, 니켈 또는 이들의 혼합물을 사용할 수 있다.
이어서, 도 3의 (a)에 도시한 바와 같이, 산소 RIE법을 사용하여 가이드 패턴(6)을 제거한다. 이에 따라, 가이드 패턴(6)보다 협소 피치의 요철 패턴을 형성하기 위한 형성층이 얻어진다.
이어서, 상술한 바와 같이 형성된 요철 패턴을 마스크로 하여 하지층(4)을 에칭하고, 하지층(4)에 요철 패턴을 전사한다(도 3의 (b) 참조). 이와 같이, 요철 패턴을 에칭함으로써 하지층(4)에 전사함으로써, 패턴의 직사각형성을 높일 수 있다.
계속해서, 도 3의 (c)에 도시한 바와 같이, 하층(8a) 및 상층(10a)으로 이루어지는 요철 패턴을 에칭에 의해 제거한다. 이 요철 패턴의 제거는, 예를 들어 에칭 가스로서 6불화황 가스 또는 브롬화수소산 가스를 사용한 RIE법에 의해 행한다. 또한, 요철 패턴이 형성된 실리콘 기판(2)을, 예를 들어 5wt%의 불산에 침지시킴으로써, 하층(8a) 및 상층(10a)으로 이루어지는 요철 패턴을 제거할 수도 있다.
이어서, 본 실시형태의 요철 패턴 형성 방법에 의해 형성된 요철 패턴을 사용해서 임프린트용의 스탬퍼를 형성하는 방법을 도 7의 (a) 내지 도 7의 (d)를 참조하여 설명한다. 이 스탬퍼는 자성체 가공형의 비트 패턴드 자기 기록 매체(Magnetic bit-patterned media)의 제조 방법에 사용된다.
우선, 도 7의 (a)에 도시한 바와 같이, 본 실시형태의 요철 패턴 형성 방법에 의해, 기판(2) 상에 설치된 요철 패턴(4a)을 갖는 원반을 준비한다. 계속해서, 도 7의 (b)에 도시한 바와 같이, 요철 패턴(4a)을 덮도록, 기판(2) 상에 니켈 스퍼터링 등에 의해 얇은 도전막(16)을 형성한다. 그 후, 도 7의 (c)에 도시한 바와 같이, 전기 주조법에 의해 니켈막(18)을 요철 패턴(4a)의 오목부에 충분히 매립하고, 원하는 막 두께가 되도록 형성한다. 이어서, 도 7의 (d)에 도시한 바와 같이, 니켈막(18)을 요철 패턴(4a) 및 기판(2)으로 이루어지는 원반으로부터 박리하고, 도전막(16) 및 니켈막(18)으로 이루어지는 스탬퍼(20)를 제조한다.
이어서, 이 스탬퍼(20)를 사용한 자성체 가공형의 비트 패턴드 자기 기록 매체의 제조 방법에 대하여 도 8의 (a) 내지 도 8의 (f)를 참조하여 설명한다.
우선, 도 8의 (a)에 도시한 바와 같이, 기판(30) 상에 기록층이 되는 자성층(32)이 형성되고, 이 자성층(32) 상에 레지스트(34)가 도포된 자기 기록 매체 기판을 준비한다. 이 자기 기록 매체 기판 상에 도포된 레지스트(34)에 상술한 스탬퍼(20)를 사용하여 임프린트하고(도 8의 (a) 참조), 스탬퍼(20)의 패턴을 레지스트(34)에 전사한다(도 8의 (b) 참조).
이어서, 레지스트(34)에 전사된 패턴을 마스크로 하여 레지스트(34)를 에칭하여, 레지스트 패턴(34a)을 형성한다(도 8의 (c) 참조). 그 후, 이 레지스트 패턴(34a)을 마스크로 하여 자성층(32)을 이온 밀링한다(도 8의 (d) 참조). 계속해서, 레지스트 패턴(34a)을 건식 에칭 또는 약액에 의해 제거하여, 디스크리트한 자성층(32a)이 형성된다(도 8의 (e) 참조).
이어서, 전체면에 보호막(36)을 형성하고, 자기 기록 매체를 완성한다(도 8의 (f) 참조). 또한, 별도로 홈 등의 오목한 부분을 비자성 재료로 매립하는 공정을 갖고 있어도 상관없다.
또한, 이 제조 방법을 사용하여 패턴을 형성하는 기판의 형상은 특별히 한정되는 것은 아니지만, 원반 형상의 것, 예를 들어 실리콘 웨이퍼 등이 바람직하다. 여기서, 원반에 노치나 오리엔테이션 플랫이 있어도 상관없다. 다른 기판으로서는, 유리 기판, 알루미늄계 합금 기판, 세라믹 기판, 카본 기판, 화합물 반도체 기판 등을 사용할 수 있다. 유리 기판에는 비정질 유리 또는 결정화 유리를 사용할 수 있다. 비정질 유리로서는, 소다석회 유리, 알루미노 실리케이트 유리 등이 있다. 결정화 유리로서는 리튬계 결정화 유리 등이 있다. 세라믹 기판으로서는, 산화알루미늄, 질화알루미늄, 질화규소 등을 주성분으로 하는 소결체나, 이들 소결체를 섬유 강화한 것 등을 사용할 수 있다. 화합물 반도체 기판으로서는 GaAs, AlGaAs 등이 있다.
이어서, 상기 스탬퍼(20)를 사용한 기판 가공형의 자기 기록 매체의 제조 방법에 대하여 도 9의 (a) 내지 도 9의 (d)를 참조하여 설명한다.
우선, 스탬퍼(20)를 도 7의 (a) 내지 도 7의 (d)에 나타낸 방법과 동일한 방법을 사용하여 제작한다.
이어서, 이하와 같이 임프린트 리소그래피법을 사용해서 요철 가공 기판을 제작한다. 도 9의 (a)에 도시한 바와 같이, 기판(40) 상에 임프린트용 레지스트(41)를 도포한다. 계속해서, 도 9의 (b)에 도시한 바와 같이, 기판(40) 상의 레지스트(41)에 스탬퍼(20)를 대향시키고, 압력을 걸어 레지스트(41)에 스탬퍼(20)를 가압하여 스탬퍼(20)의 표면의 볼록부 패턴을 레지스트(41)의 표면에 전사한다. 그 후, 스탬퍼(20)를 제거한다. 이에 따라, 레지스트(41)는 요철 패턴이 형성된 레지스트 패턴(41a)이 된다(도 9의 (b) 참조).
이어서, 레지스트 패턴(41a)을 마스크로 하여 기판(40)을 에칭함으로써, 요철 패턴이 형성된 기판(40a)을 얻는다. 그 후, 레지스트 패턴(41a)을 제거한다(도 9의 (c) 참조).
계속해서, 도 9의 (d)에 도시한 바와 같이, 기판(40a) 상에 수직 기록에 적합한 재료로 이루어지는 자성막(43)을 성막한다. 이때, 기판(40a)의 볼록부에 성막된 자성막(43)이 볼록부 자성체부(43a)가 되고, 기판(40a)의 오목부에 성막된 자성막이 오목부 자성체부(43b)가 된다. 또한, 자성막(43)으로서, 연자성 하지층과 강자성 기록층과의 적층막으로 하는 것이 바람직하다. 또한, 자성막(43) 상에 카본으로 이루어지는 보호막(45)을 설치하고, 추가로 윤활제를 도포함으로써 자기 기록 매체를 제작한다.
상술한 바와 같이, 본 실시형태의 요철 패턴 형성 방법에 의해 얻어지는 미세한 요철 패턴은 숄더부의 드롭핑이 억제되기 때문에, 임프린트용 스탬퍼의 원반으로서의 사용에도 적합하다.
(제2 실시형태)
본 발명의 제2 실시형태에 따른 요철 패턴 형성 방법에 대하여 도 10의 (a) 내지 도 10의 (d)를 참조하여 설명한다. 이 제2 실시형태의 요철 패턴 형성 방법은 마스크로서 남겨두는 가이드 패턴의 측부에 우선적으로 막 형성함으로써, 보다 숄더부의 드롭핑이 작은 패턴을 제조하는 방법이다.
우선, 제1 실시형태와 마찬가지로, 실리콘 기판(2) 상에 예를 들어 비정질 실리콘으로 이루어지는 하지층(4)이 형성된 기재를 준비하고, 하지층(4) 상에 볼록부와 오목부가 패턴 형상으로 배치된, 예를 들어 카본으로 이루어지는 가이드 패턴(6)을 형성한다. 계속해서, 예를 들어 이온 빔 성막법 등의 지향성이 높은 성막 방법을 사용하여 기재에 대하여 45도 이하의 입사각으로 기재를 자전시키면서, 요철 패턴 형성용 형성층의 하층(8)으로서 산화실리콘층을 형성한다(도 10의 (a)). 이에 따라, 하층(8)은 가이드 패턴(6)의 볼록부 상면 및 홈부 저면에 비해 가이드 패턴(6)의 측부에 두껍게 형성된다. 그 후, 마찬가지로 지향성이 높은 성막 방법을 사용하여 기재에 대하여 45도 이하의 입사각으로 기재를 자전시키면서, 요철 패턴 형성용의 형성층의 상층(10)으로서 산화알루미늄층을 형성한다(도 10의 (b)). 계속해서, RIE법을 사용하여 가이드 패턴(6)을 에칭하고, 하지층(4)에 요철 패턴을 전사하기 위한 마스크를 형성한다. 이때, 가이드 패턴(6)의 측부 이외, 즉 가이드 패턴(6)의 상부 및 홈부의 저부에 존재하는 상층(10) 및 하층(8)도 제거된다. 또한, 도 10의 (c)에 도시한 바와 같이, 가이드 패턴(6)은 완전하게 제거하지 않고 남겨둔 상태일 수도 있다.
그 후, 상기 마스크를 사용하여, 제1 실시형태의 도 3의 (a)에 나타내는 공정 이후와 동일한 공정을 사용하여 하지층(4)에 요철 패턴을 전사하여, 요철 패턴을 형성한다.
본 실시형태의 형성 방법을 사용하여 형성된 형성층은 제1 실시형태와 마찬가지로, 산화실리콘층과 산화알루미늄층의 2층 구조로 되어 있고, 또한 하층(8) 및 상층(10)의 에칭량이 적어지기 때문에, 요철 패턴의 볼록부의 숄더부의 드롭핑을 더 억제할 수 있다.
(제3 실시형태)
이어서, 본 발명의 제3 실시형태에 따른 요철 패턴 형성 방법에 대하여 도 11 및 도 12를 참조하여 설명한다. 이 제3 실시형태의 요철 패턴 형성 방법은, 형성층의 주원소가 층 두께 방향에 있어서 단계적으로 변화하는 형성 방법이다.
우선, 본 실시형태의 요철 패턴 형성 방법은, 가이드 패턴(6)을 형성할 때까지는 제1 실시형태와 마찬가지로 하여 형성한다. 그리고, 본 실시형태에 있어서는, 요철 패턴을 형성하기 위한 형성층의 형성 방법이 제1 실시형태와 상이하다. 본 실시형태에 있어서는, 요철 패턴을 형성하기 위한 형성층으로서, 산화실리콘층과 산화알루미늄층을 예를 들어 ALD법을 사용하여 원자층 레벨로 교대로 형성한다. 그리고, 예를 들어 산화실리콘층의 원자층수는 가이드 패턴(6)으로부터 이격됨에 따라 감소하고, 산화알루미늄층의 원자층수는 가이드 패턴(6)으로부터 이격됨에 따라 증가하도록 형성한다. 즉, 도 11에 도시한 바와 같이, 가이드 패턴(6) 상에 산화실리콘층과 산화알루미늄층이 교대로 형성되는 영역이 A 영역, B 영역, C 영역, D 영역, E 영역의 순서로 형성되도록 한다. 이때, 가이드 패턴(6)에 가장 가까운 영역 A에서는 산화실리콘층과 산화알루미늄층의 원자층수를 4:0으로 하고, B 영역에서는 3:1로 하고, C 영역에서는 2:2로 하고, D 영역에서는 1:3으로 하고, E 영역에서는 0:4로 한다.
이때의, 산화실리콘층과 산화알루미늄층의 원자층수와 층 두께 방향의 관계를 도 12에 나타낸다. 이 도 12로부터 알 수 있는 바와 같이, 형성층의 층 두께 방향에 있어서, 적어도 내에칭성 재료의 주요한 원소, 즉 실리콘과 알루미늄이 스텝 형상으로 변화하고 있다. 실리콘은 가이드 패턴(6)으로부터 이격됨에 따라 스텝 형상으로 감소하고, 알루미늄은 가이드 패턴(6)으로부터 이격됨에 따라 스텝 형상으로 증가하고 있다.
이상 설명한 바와 같이, 본 실시형태의 형성 방법을 사용하여 형성된 형성층은 산화실리콘층과 산화알루미늄층의 층수가 원자층 레벨로 교대로 형성되고, 또한 산화실리콘층의 원자층수는 가이드 패턴(6)으로부터 이격됨에 따라 감소하고, 산화알루미늄층의 원자층수는 가이드 패턴(6)으로부터 이격됨에 따라 증가하도록 형성되어 있으므로, 제1 실시형태와 마찬가지로, 요철 패턴의 볼록부의 숄더부의 드롭핑 및 휨을 가급적으로 억제할 수 있다.
또한, 형성층의 층 두께 방향에 대한 주원소종의 변화는 연속적일 수도 있다. 예를 들어, 성막 시에 CVD 원료 가스 종류를 변화시키거나 또는 성막 온도를 변화시키는 등의 방법에 의해, 종 원소종이 연속적으로 변화한 층의 형성이 가능해진다.
(제4 실시형태)
이어서, 본 발명의 제4 실시형태에 따른 요철 패턴 형성 방법에 대하여 도 13의 (a) 내지 도 16을 참조하여 설명한다. 이 제4 실시형태의 요철 패턴 형성 방법은 가이드 패턴 제거시의 형성층의 후퇴를 방지한 형성 방법이다.
본 실시형태의 요철 패턴 형성 방법은, 가이드 패턴(6)을 형성할 때까지는 제1 실시형태와 마찬가지로 하여 형성한다(도 13의 (a)). 가이드 패턴(코어재)(6)은, 제1 실시형태에 있어서는 하지층(4) 상에 CVD법 또는 스퍼터링법을 사용하여 카본층을 형성하고, 리소그래피 기술에 의해 레지스트 패턴을 사용하여 카본층을 패터닝하고, 산소에 의한 에칭으로 선폭을 가늘게 함(슬리밍함)으로써 형성하였다. 또한, 리소그래피 기술을 사용하여 레지스트 패턴을 슬리밍한 후에 카본막을 패터닝할 수도 있다. 이 가이드 패턴은, 예를 들어 선폭이 5nm, 피치가 20nm, 높이가 10nm인 크기를 갖고 있다.
ALD법이나 CVD법 또는 스퍼터링법 등의 방법을 사용하여 형성한다. 본 실시형태에서는, 하층(12)으로서 ALD으로 산화알루미늄을 1nm 형성한다. 또한, 하층(12)으로서는, 산화알루미늄 이외에, 산화티타늄, 산화탄탈, 산화주석, 산화크롬, 산화지르코늄, 산화이트륨, 산화니오븀, 산화인듐, 산화란탄, 산화하프늄, 산화아연 또는 산화바나듐 등의 산화물을 사용할 수도 있다. 이들 재료는, 산소 플라즈마를 사용하지 않고 ALD법으로 성막 가능하다. 또한, 하층(12)으로서는, 질화알루미늄, 질화탄탈, 질화니오븀, 질화티타늄, 질화몰리브덴, 질화지르코늄, 질화하프늄, 질화갈륨 또는 질화실리콘 등의 질화물을 사용할 수도 있다. 이들 질화물의 층은 ALD법을 사용하여 형성할 수 있다. 이 밖에도, 금속 원소를 주원소로서 포함하는 층, 예를 들어 백금, 루테늄, 이리듐, 팔라듐, 구리, 코발트, 니켈 또는 이들의 혼합물을 사용할 수도 있다. 이들 금속의 층은 ALD법을 사용하여 형성할 수 있다. 이어서, 도 13의 (c)에 도시한 바와 같이, 하층(12)을 덮도록 중층(13)을 ALD법, CVD법 또는 스퍼터링법 등의 방법을 사용하여 형성한다. 본 실시형태에서는 중층(13)으로서 ALD법을 사용하여 산화실리콘을 3nm 형성한다.
이어서, 도 14의 (a)에 도시한 바와 같이, 상층(14)을 ALD법, CVD법 또는 스퍼터링법 등의 방법을 사용하여 형성한다. 본 실시형태에서는, 상층(14)으로서, ALD법을 사용하여 산화알루미늄을 1nm 형성한다. 또한, 상층(14)으로서는, 산화알루미늄 이외에, 산화티타늄, 산화탄탈, 산화주석, 산화크롬, 산화지르코늄, 산화이트륨, 산화니오븀, 산화인듐, 산화란탄, 산화하프늄, 산화아연 또는 산화바나듐 등의 산화물을 사용할 수도 있다. 이들 재료는, 산소 플라즈마를 사용하지 않고 ALD법으로 성막 가능하다. 또한, 상층(14)으로서는, 질화알루미늄, 질화탄탈, 질화니오븀, 질화티타늄, 질화몰리브덴, 질화지르코늄, 질화하프늄, 질화갈륨 또는 질화실리콘 등의 질화물을 사용할 수도 있다. 이들 질화물의 층은 ALD법을 사용하여 형성할 수 있다. 본 실시형태에서는 하층(12)을 산화알루미늄, 중층(13)을 산화실리콘, 상층을 산화알루미늄으로 형성한다. 다른 재료를 사용한 실시형태의 예로서, 하층(12)을 질화탄탈, 중층(13)을 산화실리콘, 상층(14)을 산화알루미늄으로 형성하는 형태나, 하층(12)을 질화티타늄, 중층(13)을 산화실리콘, 상층(14)을 산화알루미늄으로 형성하는 형태가 있다.
이어서, 도 14의 (b)에 도시한 바와 같이, 산화알루미늄으로 이루어지는 상층(14)을 에칭에 의해 트리밍한다. 즉, 가이드 패턴(6)의 상면 상의 산화알루미늄층(14)을 선택적으로 제거함으로써, 가이드 패턴(6)의 상면 상의 산화실리콘층(13)을 노출시킴과 함께, 가이드 패턴(6)의 측부에만 산화알루미늄층(14)을 남겨둔다. 트리밍 방법으로서, 예를 들어 아르곤 이온 밀링에 의해, 가이드 패턴(6)의 상면 상의 산화알루미늄층(14)을 선택적으로 제거하여, 가이드 패턴(6)의 상면 상의 산화실리콘층(13)을 노출시킨다. 혹은, 불화탄소계 가스, 불화수소화탄소계 가스에 의한 RIE 등에 의해 실시한다.
이어서, 도 14의 (c)에 도시한 바와 같이, 산화실리콘으로 이루어지는 중층(13)을 에칭에 의해 트리밍한다. 즉, 가이드 패턴(6)의 상면 상의 산화실리콘층(13)을 선택적으로 제거함으로써, 가이드 패턴(6)의 상면 상의 산화알루미늄층(12)을 노출시킴과 함께, 가이드 패턴(6)의 측부에만 산화실리콘층(13)을 남겨둔다. 에칭으로서는 불화탄소계 가스, 불화수소화탄소계 가스에 의한 RIE 등에 의해 실시한다.
이어서, 도 15의 (a)에 도시한 바와 같이, 산화알루미늄으로 이루어지는 하층(12)을 에칭에 의해 트리밍한다. 가이드 패턴(6)의 상면 상의 산화알루미늄층(12)을 선택적으로 제거하고, 가이드 패턴(6)의 측부에만 산화알루미늄층(12)을 남겨둔다. 에칭으로서는 불화탄소계 가스, 불화수소화탄소계 가스 등을 주체로 적절히 아르곤이나 산소 등을 가한 혼합 가스에 의한 RIE 등에 의해 실시한다.
이어서, 도 15의 (b)에 도시한 바와 같이, 산소 플라즈마에 의한 에칭 혹은 애싱에 의해 카본으로 이루어지는 가이드 패턴(6)을 제거함으로써, 하층, 중층 및 상층으로 이루어지는 요철 패턴 형성층이 남겨진다.
이와 같이, 본 실시형태에 있어서는, 하층, 중층 및 상층에 의해 구성된 요철 패턴 형성층(마스크)이 형성된다. 이 마스크는, 예를 들어 선폭이 5nm, 피치가 10nm, 높이가 10nm인 크기를 가져, 선폭이 5nm, 피치가 20nm, 높이가 10nm인 크기의 가이드 패턴(6)에 비해 피치가 1/2이 되어, 가이드 패턴(6)보다 협소 피치의 요철 패턴 형성층을 얻을 수 있다.
또한, 중층(13)의 더 외측에, 상층(14)으로서 케미컬 에칭이 진행되기 어려운 산화알루미늄층(14)이 형성되어 있으므로, 하층(12)을 최종적으로 에칭에 의해 트리밍할 때에 그의 측벽에 대한 사이드 에칭이 거의 진행되지 않아, 결과적으로 숄더부의 드롭핑이 없는 요철 패턴 형성층(마스크)이 형성된다.
또한, 하층(12)으로서 산소 플라즈마 내성이 있는 산화알루미늄을 가이드 패턴 상에 형성함으로써 가이드 패턴은 산소 플라즈마로 보호되기 때문에, 형성층의 형성 공정에 있어서 가이드 패턴의 산소 플라즈마에 의한 후퇴가 방지된다. 그로 인해, 중층(13)의 형성에 산소 플라즈마를 사용한 성막 방법이 가능해진다. 프로세스 마진이 커짐으로써 비용 절감에 기여한다.
이와 같이 하여 형성된 요철 패턴 형성층(마스크)의 주원소의 밀도 분포를 도 16에 나타낸다. 하층(12)의 주원소는 알루미늄이고, 중층(13)의 주원소가 실리콘이며, 상층(14)의 주원소는 알루미늄이다.
그 후에는 제1 실시형태에서 설명한 바와 마찬가지로 하여, 이 요철 패턴 형성층을 마스크로 하여 하지층(4)을 패터닝하여, 요철 패턴을 형성한다. 이 요철 패턴을 사용하여 제1 실시형태에서 설명한 바와 마찬가지로 하여 임프린트용의 스탬퍼를 형성할 수 있다.
또한, 본 실시형태에 있어서는, 하층(12)에 포함되는 금속 원소는 상층(14)에 포함되는 금속 원소와 동일한 것이었지만, 상이한 금속 원소일 수도 있다. 이 경우, 추가로 중층(13)이 실리콘 대신에 금속 원소를 포함할 수도 있지만, 이 중층(13)에 포함되는 금속 원소는 하층(12) 및 상층(14)에 포함되는 금속 원소와 상이한 것이 바람직하다.
(제5 실시형태)
본 발명의 제5 실시형태에 따른 요철 패턴 형성 방법을 도 17의 (a) 내지 도 19의 (b)를 참조하여 설명한다. 본 실시형태의 요철 패턴 형성 방법은 MTJ(Magnetic Tunnel Junction)를 형성하기 위해 사용된다.
우선, 도 17의 (a)에 도시한 바와 같이, 제1 강자성층(51)과, 이 제1 강자성층 상에 형성된 터널 배리어층(52)과, 터널 배리어층(52) 상에 형성된 제2 강자성층(53)을 갖는 MTJ막(40)을 준비한다. 계속해서, 이 MTJ막(50)의 제2 강자성층(53) 상에, 예를 들어 막 두께 50nm의 탄탈로 이루어지는 하드 마스크층(55)을 형성한다. 그 후, 하드 마스크층(55) 상에 카본으로 이루어지는 가이드 패턴(코어재)(56)을 형성한다. 이 가이드 패턴(56)의 형성은 제1 내지 제4 실시형태에서 설명한 방법을 사용하여 형성한다. 이 가이드 패턴(56)은, 하드 마스크층(55)의 상면에 대략 직교하는 단부면(56a)을 갖는 예를 들어 60nm의 단차를 구비하고 있다. 이 단차는 산소에 의한 에칭으로 형성한다. 따라서, 가이드 패턴(56)은 하드 마스크층(55)의 일부를 덮지만, 하드 마스크층(55)의 다른 부분은 노출되어 있다. 이 가이드 패턴(56) 및 노출되어 있는 하드 마스크층(55)을 덮도록 하층(58)을 형성한다. 이 하층(58)은 가이드 패턴(56)의 단부면(56a)을 덮도록 형성된다. 이 하층(58)은, 예를 들어 산화실리콘으로 이루어져 있고, 층 두께는 예를 들어 14.4nm이며, ALD법, CVD법 또는 스퍼터링법 등의 방법으로 형성된다.
이어서, 도 17의 (b)에 도시한 바와 같이, 상층(60)을 ALD법, CVD법 또는 스퍼터링법 등의 방법으로 형성한다. 여기에서는 예를 들어 ALD법을 사용하여 상층(60)으로서 산화알루미늄층을 2nm 형성한다.
이어서, 도 17의 (c)에 도시한 바와 같이, 상층인 산화알루미늄층(60)을 에칭에 의해 트리밍한다. 즉, 가이드 패턴(56)의 상면 및 하드 마스크층(55)의 상면에 존재하는 산화알루미늄층(60)을 제거하여 산화실리콘층(58)을 노출시키고, 가이드 패턴(56)의 단부면(56a)에만 산화알루미늄층층(60)을 남겨둔다. 트리밍으로서, 예를 들어 아르곤 이온 밀링에 의해 선택적으로 산화알루미늄층층(60)을 제거하여 선택적으로 산화실리콘층(58)을 노출시킨다. 혹은 불화탄소계 가스, 불화수소화탄소계 가스에 의한 RIE 등에 의해 실시한다.
이어서, 도 18의 (a)에 도시한 바와 같이, 하층인 산화실리콘층(58)을 에칭에 의해 트리밍한다. 즉, 가이드 패턴(56)의 상면 및 하드 마스크층(55)의 상면에 존재하는 산화실리콘층(58)을 제거하고, 가이드 패턴(56)의 단부면(56a)에만 산화실리콘층(58)을 남겨둔다. 트리밍으로서는, 불화탄소계 가스, 불화수소화탄소계 가스에 의한 RIE 등에 의해 실시한다.
이어서, 도 18의 (b)에 도시한 바와 같이, 산소 플라즈마에 의한 에칭 혹은 애싱에 의해 카본으로 이루어지는 가이드 패턴(56)을 제거한다. 그 결과, 하층(58) 및 상층(60)에 의해 구성된 요철 패턴 형성층(마스크)이 형성된다. 이때의 마스크는, 예를 들어 폭이 15nm, 높이가 60nm인 크기를 갖고 있다.
또한, 산화실리콘으로 이루어지는 하층(58)의 외측에, 상층(60)으로서 케미컬 에칭이 진행되기 어려운 산화알루미늄층(60)이 형성되어 있으므로, 하층(58)을 최종적으로 에칭에 의해 트리밍할 때에 그 하층(58)에 대한 사이드 에칭이 거의 진행되지 않아, 결과적으로 숄더부의 드롭핑이 없는 요철 패턴 형성층(마스크)이 형성된다.
이어서, 도 18의 (c)에 도시한 바와 같이, 하층(58) 및 상층(60)에 의해 구성된 요철 패턴 형성층을 마스크로 하여 하드 마스크층(55)을 패터닝하고, 하드 마스크재로 이루어지는 라인 형상의 하드 마스크(45a)를 형성한다. 계속해서, 하층(58) 및 상층(60)에 의해 구성된 요철 패턴 형성층을 도시하지 않은 절연막으로 덮고, CMP(Chemical Mechanical Polishing)를 사용하여 상기 평탄화하여 요철 패턴 형성층의 상면을 노출시킨다. 계속해서, 상술한 바와 동일한 프로세스를 사용하여 라인 형상의 하드 마스크(55a)에 대략 직교하는 방향으로, 에칭하기 위한 마스크(도시하지 않음)를 형성하고, 이 마스크를 사용하여 하지가 되는 요철 패턴 형성층 및 하드 마스크(55a)를 에칭함으로써, 직사각형 형상의 하드 마스크(55b)가 형성된다(도 19의 (a)). 이 하드 마스크(55b)를 사용하여 MTJ막(50)을 패터닝하고, MTJ(50a)를 형성한다(도 19의 (b)). 여기에서는, MTJ(50a)의 가공은 이온 밀링 등의 물리적 에칭을 사용하여 원하는 형상으로 가공된다.
본 실시형태도 제1 실시형태와 마찬가지로 요철 패턴의 볼록부의 숄더부의 드롭핑 및 휨을 가급적으로 억제할 수 있다.

Claims (8)

  1. 요철 패턴 형성 방법으로서,
    기재 상에 볼록부를 갖는 가이드 패턴을 형성하는 공정과,
    제1 금속 원소 및 유금속(類金屬) 원소로부터 선택되는 적어도 1개의 원소를 포함하는 제1 층과, 제2 금속 원소를 포함하는 제2 층이 적층된 적층 구조를 포함하는 형성층을 상기 가이드 패턴 상에 형성하는 공정으로서, 상기 제2 금속 원소는 상기 제1 층이 상기 제1 금속 원소를 포함하는 경우에 상기 제1 금속 원소와 다른, 공정과,
    상기 형성층을 에칭함으로써 상기 볼록부의 측부에만 상기 형성층을 선택적으로 남겨두는 공정과,
    상기 가이드 패턴을 제거하는 공정과,
    남겨진 상기 형성층을 마스크로 하여 상기 기재를 에칭함으로써 상기 기재에 요철 패턴을 형성하는 공정
    을 포함하고 있는 것을 특징으로 하는 요철 패턴 형성 방법.
  2. 제1항에 있어서,
    상기 형성층을 형성하는 공정은,
    상기 가이드 패턴을 덮도록 상기 제1 층을 형성하는 공정과,
    상기 제1 층을 덮도록 상기 제2 층을 형성하는 공정
    을 포함하고 있는 것을 특징으로 하는 요철 패턴 형성 방법.
  3. 제2항에 있어서,
    상기 제1 층은 산화실리콘층이고, 상기 제2 층은 산화알루미늄층인 것을 특징으로 하는 요철 패턴 형성 방법.
  4. 제1항에 있어서,
    상기 형성층을 형성하는 공정은,
    상기 가이드 패턴을 덮도록, 상기 제2 금속 원소와 동일한 금속 원소를 포함하는 제3 층을 형성하는 공정과,
    상기 제3 층을 덮도록 상기 제1 층을 형성하는 공정과,
    상기 제1 층을 덮도록 상기 제2 층을 형성하는 공정
    을 포함하고 있는 것을 특징으로 하는 요철 패턴 형성 방법.
  5. 제4항에 있어서,
    상기 제1 층은 산화실리콘층이고, 상기 제2 층은 산화알루미늄층이며, 상기 제3 층은 산화알루미늄층인 것을 특징으로 하는 요철 패턴 형성 방법.
  6. 제1항에 있어서,
    상기 형성층을 형성하는 공정은,
    상기 가이드 패턴을 덮도록, 상기 제1 및 제2 금속 원소와 상이한 금속 원소를 포함하는 제3 층을 형성하는 공정과,
    상기 제3 층을 덮도록 상기 제1 층을 형성하는 공정과,
    상기 제1 층을 덮도록 상기 제2 층을 형성하는 공정
    을 포함하고 있는 것을 특징으로 하는 요철 패턴 형성 방법.
  7. 제1항에 있어서,
    상기 형성층은 ALD법에 의해 형성되는 것을 특징으로 하는 요철 패턴 형성 방법.
  8. 제1항에 있어서,
    상기 형성층은 상기 제1 층과 상기 제2 층과의 적층 구조를 복수조 갖고, 각각의 조는 상기 가이드 패턴으로부터 멀어짐에 따라 상기 제1 층의 원자층수는 감소하고, 상기 제2 층의 원자층수는 증가하도록 구성되어 있는 것을 특징으로 하는 요철 패턴 형성 방법.
KR1020117027506A 2009-05-20 2009-05-20 요철 패턴 형성 방법 KR101311621B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2009/059287 WO2010134176A1 (ja) 2009-05-20 2009-05-20 凹凸パターン形成方法

Publications (2)

Publication Number Publication Date
KR20120024616A KR20120024616A (ko) 2012-03-14
KR101311621B1 true KR101311621B1 (ko) 2013-09-26

Family

ID=43125874

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117027506A KR101311621B1 (ko) 2009-05-20 2009-05-20 요철 패턴 형성 방법

Country Status (5)

Country Link
US (1) US8420499B2 (ko)
JP (1) JP5356516B2 (ko)
KR (1) KR101311621B1 (ko)
CN (1) CN102428544B (ko)
WO (1) WO2010134176A1 (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8717710B2 (en) 2012-05-08 2014-05-06 HGST Netherlands, B.V. Corrosion-resistant bit patterned media (BPM) and discrete track media (DTM) and methods of production thereof
JP6096438B2 (ja) * 2012-08-27 2017-03-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014079903A (ja) * 2012-10-15 2014-05-08 Hoya Corp インプリント用モールドの製造方法
JP6357753B2 (ja) * 2012-10-30 2018-07-18 大日本印刷株式会社 ナノインプリントモールドの製造方法
JP6136271B2 (ja) * 2013-01-08 2017-05-31 大日本印刷株式会社 インプリントモールドの製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6091940B2 (ja) * 2013-03-11 2017-03-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6156013B2 (ja) * 2013-09-24 2017-07-05 大日本印刷株式会社 インプリントモールドの製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9299924B1 (en) 2015-06-29 2016-03-29 International Business Machines Corporation Injection pillar definition for line MRAM by a self-aligned sidewall transfer
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6565415B2 (ja) * 2015-07-22 2019-08-28 大日本印刷株式会社 インプリントモールド製造用の基板およびインプリントモールドの製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
CN113675081A (zh) * 2018-01-30 2021-11-19 朗姆研究公司 在图案化中的氧化锡心轴
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020017569A (ja) 2018-07-23 2020-01-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10937945B2 (en) 2019-01-22 2021-03-02 International Business Machines Corporation Structured pedestal for MTJ containing devices
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7357528B2 (ja) 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102292282B1 (ko) * 2021-01-13 2021-08-20 성균관대학교산학협력단 비등방성 기계적 팽창 기판 및 이를 이용한 크랙 기반 압력 센서
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023190168A1 (ja) * 2022-03-31 2023-10-05 大日本印刷株式会社 硬化膜形成方法、インプリントモールド用基板の製造方法、インプリントモールドの製造方法、凹凸構造体の製造方法、パターン形成方法、ハードマスク形成方法、絶縁膜形成方法及び半導体装置の製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03274029A (ja) * 1990-03-23 1991-12-05 Sanyo Electric Co Ltd アクティブマトリクス型表示装置の薄膜トランジスタアレイ及びその製造方法
JP2000173979A (ja) 1998-12-07 2000-06-23 Sanyo Electric Co Ltd エッチングマスク及び微細パターンの形成方法
JP2005515496A (ja) 2001-12-11 2005-05-26 サムスン エレクトロニクス カンパニー リミテッド パターンを形成する方法及びこれを利用した液晶表示装置用基板の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04320036A (ja) * 1991-04-18 1992-11-10 Hitachi Ltd 半導体装置およびその製造方法
JPH0677180A (ja) 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
US6821907B2 (en) * 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
JP3913203B2 (ja) * 2003-08-28 2007-05-09 松下電器産業株式会社 半導体装置
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
JP4795214B2 (ja) 2006-12-07 2011-10-19 チェイル インダストリーズ インコーポレイテッド ワイヤーグリッド偏光子及びその製造方法
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
JP4982582B2 (ja) 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03274029A (ja) * 1990-03-23 1991-12-05 Sanyo Electric Co Ltd アクティブマトリクス型表示装置の薄膜トランジスタアレイ及びその製造方法
JP2000173979A (ja) 1998-12-07 2000-06-23 Sanyo Electric Co Ltd エッチングマスク及び微細パターンの形成方法
JP2005515496A (ja) 2001-12-11 2005-05-26 サムスン エレクトロニクス カンパニー リミテッド パターンを形成する方法及びこれを利用した液晶表示装置用基板の製造方法

Also Published As

Publication number Publication date
KR20120024616A (ko) 2012-03-14
US20120115250A1 (en) 2012-05-10
US8420499B2 (en) 2013-04-16
JP5356516B2 (ja) 2013-12-04
WO2010134176A1 (ja) 2010-11-25
CN102428544B (zh) 2014-10-29
JPWO2010134176A1 (ja) 2012-11-08
CN102428544A (zh) 2012-04-25

Similar Documents

Publication Publication Date Title
KR101311621B1 (ko) 요철 패턴 형성 방법
US10840097B2 (en) Semiconductor methods and devices
CN105609471B (zh) 用于垂直nand孔蚀刻的镀覆金属硬掩模
TWI356446B (en) Methods to reduce the critical dimension of semico
TWI409852B (zh) 利用自對準雙重圖案製作半導體元件微細結構的方法
TWI302635B (en) Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit
US8216942B2 (en) Method for manufacturing semiconductor device
CN106030406B (zh) 用于亚20nm特征的均匀压印图案转移的方法
US7713882B2 (en) Patterning method for a semiconductor substrate
JP2010003826A (ja) 半導体装置の製造方法
KR20180089339A (ko) 반복적인 셀프얼라인 패터닝
CN112750760A (zh) 自对准双图案化
US9595471B2 (en) Conductive element structure and method
CN109545790A (zh) 三维存储器的沟道孔的形成方法
CN108573974A (zh) 存储器及其形成方法
CN103346119A (zh) 一种减小铜互连沟槽关键尺寸的方法
CN108574010A (zh) 半导体结构及其形成方法
US9330962B2 (en) Non-lithographic hole pattern formation
TW200824002A (en) Method for fabricating semiconductor device
JP2012174976A (ja) パターンの形成方法
CN112309835B (zh) 半导体结构及其形成方法
CN112992669B (zh) 半导体结构及其形成方法
CN116072537A (zh) 半导体结构的制造方法及半导体结构
CN114743925A (zh) 一种纳米图形的制备方法、结构及器件
JP2009076555A (ja) 多層レジストとその加工方法及び多層レジストを用いたエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160816

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee