JP5356516B2 - 凹凸パターン形成方法 - Google Patents

凹凸パターン形成方法 Download PDF

Info

Publication number
JP5356516B2
JP5356516B2 JP2011514252A JP2011514252A JP5356516B2 JP 5356516 B2 JP5356516 B2 JP 5356516B2 JP 2011514252 A JP2011514252 A JP 2011514252A JP 2011514252 A JP2011514252 A JP 2011514252A JP 5356516 B2 JP5356516 B2 JP 5356516B2
Authority
JP
Japan
Prior art keywords
layer
forming
pattern
etching
concavo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011514252A
Other languages
English (en)
Other versions
JPWO2010134176A1 (ja
Inventor
賀 智 崇 有
沢 裕 一 大
藤 順 一 伊
崎 義 成 黒
田 沙 織 柏
岡 俊 郎 平
野 実 天
暁 志 柳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Publication of JPWO2010134176A1 publication Critical patent/JPWO2010134176A1/ja
Application granted granted Critical
Publication of JP5356516B2 publication Critical patent/JP5356516B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Manufacturing Of Magnetic Record Carriers (AREA)

Description

本発明は、凹凸パターン形成方法に関する。
近年、主に半導体産業の分野において微細パターンの形成方法の研究開発が盛んに行われている。
従来から、高い量産性を持つ露光法によりパターンが形成されており、光源の短波長化により微細化を進めてきた。しかし、この露光法には、マスク幅が微細化できないこと、マスク寸法の制御性が悪いこと、マスク幅にゆらぎが生じるなどの問題があった。そこで、このような問題が発生しない、側壁転写によるパターン形成が注目されるようになった(例えば、特許文献1参照)。この側壁転写法では、露光法等により形成したガイドパターンの辺縁上に凹凸パターンを形成するための形成層を形成し、エッチングにより形成層をトリミングすることで、この形成層を選択的に残存させ、凹凸パターンを形成する方法である。この側壁転写法は、露光法の微細化限界を超えたパターン形成が可能であることが、側壁転写の長所の一つである。
しかし、この側壁転写法では、形成層のトリミングにおいて、垂直に近い角を持つ矩形の凹凸パターンの形成が望ましく、角が落ちてしまわないようにすることが必要となる。矩形の凹凸パターンの形成には、高いエッチング異方性が要求され、主にドライエッチングが使用される。
ドライエッチングは化学反応を利用した化学的エッチング成分と、粒子の衝突エネルギーを利用した物理的エッチング成分の両方を持っている。そして、化学的エッチング成分は等方的にエッチングが進行し、物理的エッチング成分は異方的にエッチングが進行する。等方的にエッチングが進行すると、高い異方性が得られない。そのため、高い異方性を得るには化学的エッチング成分を抑制することが重要となる。
側壁転写では凹凸パターンを形成するための形成層は単一材料で形成されるため、形成層の溝側面と溝底面は同一材料で形成される。そのため、溝側面と溝底面において同程度の化学的エッチングが生じ、エッチング異方性が低下する。そして、溝の浅い部分では、等方性エッチングによってエッチング方向に対して垂直な成分の被エッチング面が生じ、この面では物理的エッチングの影響が大きくなりエッチング方向に対して垂直なエッチングが進行し、肩落ちが生じる。したがって、形成層の溝側面と溝底面において、化学的エッチングが同程度生じ、肩落ちが生じることが側壁転写法の課題である。肩落ちとは、形成層の溝底面除去時において形成層上部の角が除去され丸みを帯びることである。一般に、半導体装置の製造でのエッチング用マスク形状、インプリントのパターン形状、MTJ加工時のエッチング用マスク形状では、高い矩形性が好まれる。しかし、上記肩落ちが生じた場合、凹凸パターンの矩形性が得られないという問題が生じる。
形成層は膜応力の異なるガイドパターンの辺縁上に形成されるため、形成層とガイドパターンとの界面に内部応力が発生する。内部応力の発生により、ガイドパターン除去後に、形成層に反りが生じる。形成層に反りが生じた場合、形成層または形成層から転写して得られるパターンの形状が変化する問題が生じる。したがって、ガイドパターンと形成層との界面に発生する応力に起因して、形成層に反りが生じることが側壁転写の課題である。
特開平6―77180号公報
上述したように、従来の側壁転写法においては、凹凸パターンの凸部が肩落ちするという問題があった。
本発明は、凹凸パターンの凸部の肩落ちを可及的に抑制することのできる凹凸パターン形成方法を提供する。
本発明の第1の態様による凹凸パターン形成方法は、基材上に凸部を有するガイドパターンを形成する工程と、第1の金属元素およびは類金属元素から選択される少なくとも1つの元素を含む第1の層と、前記第1の金属元素と異なる第2の金属元素を含む第2の層とが積層された積層構造を有する形成層を前記ガイドパターン上に形成する工程と、前記形成層をエッチングすることで前記凸部の側部にのみ前記形成層を選択的に残置する工程と、前記ガイドパターンを除去する工程と、残置された前記形成層をマスクとして前記基材をエッチングすることにより前記基材に凹凸パターンを形成する工程と、を備えていることを特徴とする。
また、本発明の第2の態様によるインプリントスタンパの作製方法は、第1の態様による凹凸パターン形成方法を用いて、インプリントスタンパを形成する工程を備えていることを特徴とする。
また、本発明の第3の態様による磁気記録媒体の製造方法は、第2の態様によるインプリントスタンパの作製方法を用いて作製されたインプリントスタンパを用いて、磁気記録媒体を形成する工程を備えていることを特徴とする。
本発明によれば、凹凸パターンの凸部の肩落ちを可及的に抑制することができる。
図1(a)乃至図1(c)は、第1実施形態による凹凸パターン形成方法の工程断面図。 図2(a)乃至図2(c)は、第1実施形態による凹凸パターン形成方法の工程断面図。 図3(a)乃至図3(c)は、第1実施形態による凹凸パターン形成方法の工程断面図。 酸化シリコンと、酸化アルミとのエッチングレートの違いを説明する図。 第1実施形態の形成方法によって形成される形成層の層厚方向における主たる元素種の分布を示す図。 形成層の上層の必要膜厚を示す図。 図7(a)乃至図7(d)は、磁気記録媒体の一製造方法を示す工程断面図。 図8(a)乃至図8(f)は、磁気記録媒体の一製造方法を示す工程断面図。 図9(a)乃至図9(d)は、磁気記録媒体の他の製造方法を示す工程断面図。 図10(a)乃至図10(c)は、第2実施形態による凹凸パターン形成方法の工程断面図。 第3実施形態の形成方法によって形成される形成層の層厚方向の層分布を示す図。 第3実施形態の形成方法によって形成される形成層の層厚方向の主たる元素種の分布を示す図。 図13(a)乃至図13(c)は、第4実施形態による凹凸パターン形成方法の工程断面図。 図14(a)乃至図14(c)は、第4実施形態による凹凸パターン形成方法の工程断面図。 図15(a)乃至図15(b)は、第4実施形態による凹凸パターン形成方法の工程断面図。 第4実施形態の形成方法によって形成される形成層の層厚方向における主元素分布を示す図。 図17(a)乃至図17(c)は、第5実施形態による凹凸パターン形成方法の工程断面図。 図18(a)乃至図18(c)は、第5実施形態による凹凸パターン形成方法の工程断面図。 図19(a)乃至図19(b)は、第5実施形態による凹凸パターン形成方法の工程断面図。 形成層の上層の厚さとトリミング全体の異方性との関係を示す図。
以下、本発明の実施形態について図面を参照して説明する。
(第1実施形態)
本発明の第1実施形態による凹凸パターン形成方法を図1(a)乃至図3(c)に示す。
まず、図1(a)に示すように、凹凸パターンが形成される基材を準備する。基材としてはシリコン基板自身、またはシリコン基板2上に例えばアモルファスシリコンからなる下地層4が形成されたものが挙げられる。本実施形態においては、後者の基材を用いる。続いて、図1(b)に示すように、基材上に凸部と凹部がパターン状に配置されたガイドパターン(芯材)6を形成する。このガイドパターン6は、凹凸パターンを形成するための層の芯材となる。ガイドパターン6の形成方法の一具体例として、下地層4上にCVD(Chemical Vapor Deposition)法またはスパッタ法でカーボン層を形成し、このカーボン層上にレジストを塗布し、その後ベークすることでレジスト層を形成する。そして、このレジスト層をリソグラフィー技術によりパターニングすることにより、レジストパターンを形成する。そしてこのレジストパターンをマスクとして上記カーボン層をドライエッチングすることによりパターニングし、カーボンからなるガイドパターンを形成する。続いて、上記レジストパターンを除去した後、酸素アッシング法を用いて、カーボンからなるガイドパターンの線幅を細くする方法が挙げられる。ガイドパターンの形状の一例として、例えば線幅が5nm、ピッチが20nm、高さが10nmである形状が挙げられる。また、ガイドバターンの形成方法の他の具体例としては、例えばスピンコートで塗布した反射防止膜をリソグラフィー技術によりパターニングする方法、インプリント法により樹脂パターンを形成する方法、または自己組織化によりパターンを形成する方法などが挙げられる。
次に、図1(c)に示すように、ガイドパターン6を覆うように凹凸パターンを形成するための、金属元素または類金属元素から選択される少なくとも1種の耐エッチング性元素を含む下層8を形成する。この下層8は、ALD(Atomic Layer Deposition)法、CVD法、またはスパッタ法などの方法を用いて形成される。後述するように、ALD法を用いることが好ましい。例えば、プリカーサーとしてトリスジメチルアミノシランまたはビスジメチルアミノシランを用いてALD法によりガイドパターン6を覆うように下層8として、例えば膜厚が4nmの酸化シリコン層を形成する。
次に、図2(a)に示すように、下層となる酸化シリコン層8上に例えばトリメチルアルミなどのプリカーサーを用いてALD法により、凹凸パターンを形成するための、金属元素を含む上層として、例えば膜厚が1nmの酸化アルミ層10を形成する。また、上記材料以外にも、下層としてタンタル、上層として酸化アルミが挙げられる。すなわち、下層が金属を含む場合は、上層に含まれる金属と異なる金属であることが好ましい。
本実施形態においては、凹凸パターンを形成するための形成層として、下層8に酸化シリコン層を用い、上層10に酸化アルミ層を用いている。この下層8および上層10を形成した直後の形成層の層厚方向における主元素の分布を図5に示す。図5から分かるように、下層8と上層10との界面付近では、分子の拡散による混合層が形成されることにより主元素の分布は勾配を有する。
次に、図2(b)に示すように、上層トリミングを行う。ここで、上層トリミングとは、凹凸パターンを形成するための上層10を選択的に除去することを意味する。上層トリミング方法としては、異方性エッチング、例えばアルゴンイオンミリング、または例えば三フッ化メタンガスまたは四フッ化メタンガスを用いて平行平板でのRIE(Reactive Ion Etching)法を用いて、酸化アルミ層10を選択的に除去して下層となる酸化シリコン層8の側部にのみ酸化アルミ層10aを残置させ、酸化シリコン層8の上面、すなわち酸化シリコン層8の凸部の上面および溝部の上面を露出させる。
次に、図2(c)に示すように、下層トリミングを行う。ここで、下層トリミングとは、凹凸パターンを形成するための下層8の一部を選択的に除去することを意味する。本実施形態では、上層トリミングで露出した凹凸パターンを形成するための下層8のうち、溝部の酸化シリコン層を選択的に除去し、凸部の酸化シリコン層8aを選択的に残置する。
除去方法として、例えば三フッ化メタンガスまたは四フッ化メタンガスを用いて平行平板でのRIEにより凹凸パターンを形成するための下層8を選択的に除去する方法が挙げられる。RIEの条件として、例えばガス組成は三フッ化メタン、ガス圧は1Pa、バイアス電力は150W、エッチング時間は10秒が挙げられる。
本実施形態では、凹凸パターン形成するための上層10は酸化アルミで形成され、下層8は酸化シリコンで形成されている。そして、上層トリミングとして、三フッ化メタンまたは四フッ化メタンを用いたRIEを行う。すると、溝部の底面は下層トリミングでのエッチング耐性が低い酸化シリコンが露出しているが、溝部の側面はエッチング耐性が高い酸化アルミで覆われた構造となる。その結果、下層トリミングでのエッチングは溝部の底面を選択的に進行するが、溝部の側面ではほとんど進行しなくなり、溝部の側面での肩落ちを抑制することができる。
本実施形態では、凹凸パターンを形成するための形成層は、層厚方向によって主元素が変化していることに起因し、エッチング耐性が異なる。下層トリミングにおいて、上層側ほどエッチング耐性が高く、下層側ほどエッチング耐性が低くなるように形成層を形成する。この形成層に対して上層トリミング行うと、溝部の底面と溝部の側面で層厚差が生じる。生じた層厚差により、溝部の側面に比べて溝部の底面のエッチング耐性が低くなる。耐性が低い溝部の底面はエッチングが進行し易いが、耐性が高い溝部の側面はエッチングが進行し難い。その結果、肩落ちが抑制される。すなわち、本実施形態ではエッチング耐性の材料選択性を利用して肩落ちを抑制している。
また、上記肩落ちの抑制は、下層エッチングにおいて上層材料と下層材料でエッチング耐性の材料選択性が高いことのみでなく、上層のエッチング角度依存性が高いことや、上層を膜厚制御性高く薄く形成することにより実現可能である。
次に、下層エッチングにおいて上層材料と下層材料でエッチング耐性の材料選択性を高く得ることについて説明する。微細パターンをエッチングする方法として一般的なRIEでは、反応性が高い状態にあるガス分子すなわちラジカルが被エッチング材料の主に主元素と化学反応を起こし、揮発性の高い化合物に変化し揮発することがエッチング進行の主要因の一つである。そのため、ガス分子との反応性および生成される化合物の揮発性によって、エッチングの進行が大きく異なる。よって、上記反応性および上記揮発性の違いが得られるようにガス種および被エッチング材料の主元素を選択することで、被エッチング材料のエッチング耐性の材料選択性を高めることが可能である。
本実施形態では、下層8として酸化シリコンを、上層として酸化アルミを用いたが、これらの材料に関してエッチング耐性の材料選択性の観点から説明する。三フッ化メタンまたは四フッ化メタンによる酸化シリコンのRIEでは、下記の(1)式に示すようにフッ素と下層8の主元素であるシリコンが結合し揮発することでエッチングが進行する。
SiO+4F→SiF+O (1)
一方、酸化アルミでは下記の(2)式に示すように上層10の主元素であるアルミとフッ素が結合する。
Al+3F→AlF (2)
しかし、このアルミ化合物は揮発性が低く、RIEが行われる雰囲気下では、ほとんど揮発しないため、ほとんどエッチングが進行しない。実際にエッチングレートを測定した結果からも、酸化シリコンと酸化アルミでエッチング耐性に差があることが確認できた(図4参照)。図4は、横軸にRIEにおけるRF放電を発生するためのコイル電力を示し、縦軸はエッチングレートを示す。
すなわち、主元素がシリコンである材料と主元素がアルミである材料では、三フッ化メタンガスまたは四フッ化メタンガス中のフッ素と結合し生成される化合物の揮発性に差があり、この揮発性の差に起因してエッチング耐性の材料選択性が得られる。
下層8を酸化シリコンで形成し、上層10を酸化アルミで形成し、三フッ化メタンまたは四フッ化メタンによるRIEを行った本実施形態では、上記の化合物の揮発性の差によるエッチング耐性の材料選択性が得られる。
次に、上層10のエッチング角度依存性について説明する。上層トリミングでは、ガイドパターンの側部に形成された上層は残置させ、溝部の底面に形成された上層を選択的にエッチングする。
ガイドパターンの側部に形成された上層は膜面に対し略水平の角度でエッチングを受け、一方、溝部の底面に形成された上層は膜面に対し略垂直の角度でエッチングを受ける。よって、上層10は略水平の角度でのエッチングに対してエッチング耐性が高く、略垂直の角度でのエッチングに対してエッチング耐性が低いことが望ましい。そして、エッチング角度が略水平と略垂直でのエッチング耐性の差が大きいほど、上層トリミングおよびトリミング全体の異方性が向上する。エッチングの角度依存性は、被エッチング材料によって異なる。よって、上層はエッチングの角度依存性が高い材料を選択する必要がある。
酸化アルミではエッチングの角度依存性が得られている。上層8にエッチングの角度依存性が得られる酸化アルミを用いた本実施例では、トリミング全体の異方性が高く得られる。また、酸化アルミを上層に用い酸化シリコンを下層に用いた場合、上層トリミングと下層トリミングの両トリミングにおいてRIEのエッチングガスとして三フッ化メタンガスまたは四フッ化メタンガスを使用可能であるため、同一のマシンでエッチングガスを変化させることなく連続的に両トリミング可能である。その結果、コストダウンに寄与する。
次に、凹凸パターンを形成するための形成層の上層10と下層8の層厚について説明する。凹凸パターンの溝部の側壁に形成される上層10は、上層トリミングおよび下層トリミングの両工程を行った後も残存する必要がある。すなわち、上層10の膜厚dSPは、上層のトリミングおよび下層のトリミングの両工程でのサイドエッチングの長さよりも厚い必要がある。上層10および下層8の層厚を、エッチング異方性および被エッチング材の材料によるエッチング選択比から決定する。
上層10の層厚下限値dSP,minは以下のように求まる。上層10および下層8のトリミングが終了したときにガイドパターン6の側面に形成された上層10aが残存する条件を求める。上層10の層厚dSPは、上層10および下層8のトリミングによりサイドエッチングされる長さよりも厚い必要がある。上層10aが残存する条件は次の(3)式で表される。
Figure 0005356516
ここで、dSPは上層10の層厚(nm)、LSP,BTは上層のトリミング工程での上層10のサイドエッチング長さ(nm)、LSP,SWEは下層のトリミング工程での上層のサイドエッチング長さ(nm)をそれぞれ示す。上層のトリミング工程での上層のサイドエッチング長さLSP,BTは次の(4)式で表される。
Figure 0005356516
ここで、rSP,Side,BTは上層のトリミング工程での上層の横方向エッチングレート(nm/s)、tBTは上層のトリミング時間(s)、rSP,Bottom,BTは上層トリミング工程において、溝部の底面に形成された上層の縦方向のエッチングレート(nm/s)、ABTは上層トリミング工程でのエッチング異方性を表す。下層トリミング工程での上層のサイドエッチング長さLSP,SWEは次の(5)式で表される。
Figure 0005356516
ここで、rSP,Side,SWEは下層トリミング工程における上層の横方向エッチングレート(nm/s)、tSWEは下層トリミング時間(s)、rSW,Bottom,SWEは下層のトリミング工程における、溝部の底面に形成された下層の縦方向エッチングレート(nm/s)、ASWEは下層のトリミング工程でのエッチング異方性を表す。
(3)式、(4)式、(5)式より、上層10の残存条件は次の(6)式で表される。
Figure 0005356516
下層8のトリミング工程後に、上層10と下層8の合計の層厚が設計パターン幅hpとなるには、
Figure 0005356516
ここで、dSP’は下層8のトリミング終了時の上層10の層厚(nm)、hpは設計パターン幅(nm)を表す。
下層トリミング後の上層10の厚dSP’は、初期層厚からサイドエッチング長さを引くと求まり、次の(7)式で表される。
Figure 0005356516
(4)式、(5)式、および(8)式より、次の(9)式が導出される。
Figure 0005356516
上層10の厚さを下限値に設計する場合、すなわち、下層8のトリミング工程終了と同時に上層10が無くなる場合、(7)式より下層8の厚さは次の(10)式で表される。
Figure 0005356516
(10)式を満たすときに上層10の厚さdSPは最小値dSP,minとなり、(9)式および(10)式より、上層10の厚さの最小値dSP,minは次の(11)式で表される。
Figure 0005356516
(4)式、(5)式、(7)式、および(9)式より、下層8の層厚は次の(12)で表される。
Figure 0005356516
上層トリミングおよび下層トリミングの両方を合わせた、トリミング全体のエッチング異方性Aを求める。溝底部では、上層10と下層8の両方の層が縦方向にエッチングされる。溝側部では上層10で横方向に膜減りが生じる。これら縦方向および横方向のエッチング長さの比から、トリミング全体のエッチング異方性Aは、
Figure 0005356516
(7)式、(12)式および(13)式からトリミング全体のエッチング異方性Aは、
Figure 0005356516
と表される。
凹凸パターンの凸部の幅を5(nm)としたときの上層10の必要膜厚を図6に示す。図6において、横軸は上層トリミング工程におけるエッチング異方性ABTを示し、縦軸は下層トリミング工程におけるエッチング異方性ASWEを示す。上層トリミング工程でのエッチング異方性を4、下層トリミング工程でのエッチング異方性を16としたとき、凹凸パターンを形成する形成層の上層10の必要膜厚は、約0.4nmとなる。上層10の実際の膜厚は必要膜厚にエッチングマージンを加えた膜厚となる。
(14)式に基づき上層膜厚とトリミング全体のエッチング異方性の関係を求め、その関係を図20に示す。図20において、横軸は上層膜厚を示し、縦軸はトリミング全体のエッチング異方性を示す。上層10が薄いほど、トリミング全体のエッチング異方性は向上する。よって、上層膜厚は、必要膜厚以上の範囲で薄いことが望ましい。また、異方性が向上することで、異方性の変動による凹凸パターンの凸部の幅の変動を抑制することができる。つまり、薄い上層を形成することで、異方性が向上し、凹凸パターンの凸部の幅の変動を抑制することができる。そして、ALDはCVDと比較して膜厚制御性が高いため、薄い膜の形成に有利である。よって、薄い上層10の形成にALDを用いることが望ましい。上記計算に基づき、上層10の膜厚を1nm、下層8の膜厚を4.5nmとした。そして、酸化シリコンおよび酸化アルミはALDで成膜可能な材料である。よって、上層10として膜厚1nmの酸化アルミ層を、下層8として膜厚4.5nmの酸化シリコン層をALDで形成することが望ましい。また、後述するように応力の観点からも、ALD法は形成層の形成に有利であると考えられる。
下層8を酸化シリコンで形成し、上層10を酸化アルミで形成し、三フッ化メタンまたは四フッ化メタンを用いたRIEを行った本実施形態では、上記の化合物の揮発性の差によるエッチング耐性の材料選択性が得られ、かつ下層8はエッチングに対して角度依存性が得られ、かつ下層8と上層10の両方とも膜厚制御性が高く薄い膜を形成可能なALD法で可能な材料である。
下層トリミングとして三フッ化メタンガスおよび四フッ化メタンガスを用いたRIEを行う場合の形成層の材料組み合わせを説明する。シリコン化合物による下層8の上にシリコンを含まない材料からなる上層10が形成された多層構造が、凹凸パターンを形成するための形成層として好ましい。
他の材料組み合わせとして、下層8としては、ホウ素、シリコン、ゲルマニウム、ヒ素、アンチモン、セレン、またはテルル等の類金属元素を主元素種として含む層が用いられるが、シリコンを主元素種として含む層を用いることが好ましく、例えば、シリコン、酸化シリコン、窒化シリコン、またはこれらの混合物が用いられる。また、上層10としては、金属元素を主元素として含む層、例えば酸化アルミ、酸化チタン、酸化タンタル、白金、ルテニウム、イリジウム、パラジウム、銅、コバルト、ニッケル、またはこれらの混合物を用いられる。
ALD法では、分子を一分子層毎に堆積させる成膜方法である。そのため、原子層レベルで膜厚制御可能なため幅10nm以下の凹凸パターンを形成する場合の上層形成方法としてALD法は適している。
従来の側壁転写法では、ガイドパターンと、凹凸パターンを形成するための形成層との界面に、応力が発生する。ガイドパターンを除去したとき、上記応力により凹凸パターンに反りが生じ、矩形のパターンが得られなくなる。
しかし、本実施形態では、凹凸パターンを形成するための形成層として、上層10aと、下層8aに膜応力が異なる材料、すなわち酸化アルミと、酸化シリコンとを用いたことで、上層の膜応力と下層の膜応力を釣り合わせ、反りを抑制することが可能となる。上層がガイドパターン6に対して圧縮応力の場合は下層が引張り応力となるようし、上層が引張り応力の場合は下層が圧縮応力となるような組み合わせの材料を選択することが好ましい。
例えば、下層として、ホウ素、シリコン、ゲルマニウム、ヒ素、アンチモン、セレン、またはテルル等の類金属元素を主元素として含む層、またはタンタルの層が用いられるが、シリコンを主元素として含む層を用いることが好ましく、例えば、シリコン、酸化シリコン、窒化シリコン、またはこれらの混合物が用いられる。また、上層10として、金属元素を主元素として含む層、例えば酸化アルミ、酸化チタン、酸化タンタル、白金、ルテニウム、イリジウム、パラジウム、銅、コバルト、ニッケル、またはこれらの混合物を用いられる。
なお、この反りを抑制する効果は、本実施形態のように凹凸パターンを形成する層の組成が層厚方向に不連続に変化する構造のみでなく、後述する第3実施形態に示すように組成が段階的に変化する場合においても効果が得られる。
図2(c)においては、残置された酸化シリコン層8aおよび酸化アルミ層10aのそれぞれの上面はほぼ同一面にある。これに対し、本発明者達は以下のように考えている。エッチングレートの高い酸化シリコン層8aがまずエッチングされ、露出面積が大きな角状の薄い酸化アルミ層10aが残る。すると、この角状の薄い酸化アルミ層10aもエッチングされ、これらのことが繰り返されることにより、残置された酸化シリコン層8aおよび酸化アルミ層10aのそれぞれの上面はほぼ同一面となる。
本実施形態では、凹凸パターンを形成するための上層10は酸化アルミで形成され、下層8は酸化シリコンで形成されている。溝部の底面の上層10を選択的に除去した後、溝部の底面はRIEによるエッチングが進行し易い酸化シリコンが露出しているが、溝部の側面はエッチングが進行し難い酸化アルミで覆われた構造となる。その結果、RIEによるエッチングは溝部の底面を選択的に進行するが、溝部の側面ではほとんど進行しなくなり、溝部の側面での肩落ちを抑制することができる。また、上述したように、三フッ化メタンガスおよび四フッ化メタンガスを用いたRIEの場合は、シリコン化合物による下層の上にシリコンを含まない材料からなる上層が形成された多層構造が凹凸パターンを形成するための形成層として好ましい。他の材料組み合わせとして、下層8としては、ホウ素、シリコン、ゲルマニウム、ヒ素、アンチモン、セレン、またはテルル等の類金属元素を主元素として含む層、またはタンタルの層が用いられるが、シリコンを主元素として含む層を用いることが好ましく、例えば、シリコン、酸化シリコン、窒化シリコン、またはこれらの混合物が用いられる。また、上層10としては、金属元素を主元素として含む層、例えばアルミナ、酸化チタン、酸化タンタル、白金、ルテニウム、イリジウム、パラジウム、銅、コバルト、ニッケル、またはこれらの混合物を用いられる。
次に、図3(a)に示すように、酸素RIE法を用いてガイドパターン6を除去する。これにより、ガイドパターン6よりも狭ピッチな凹凸パターンを形成するための形成層が得られる。
次に、上述したように形成された凹凸パターンをマスクとして、下地層4をエッチングし、下地層4に凹凸パターンを転写する(図3(b)参照)。このように、凹凸パターンをエッチングすることにより下地層4に転写することで、パターンの矩形性を高めることができる。
続いて、図3(c)に示すように、下層8aおよび上層10aからなる凹凸パターンをエッチングにより除去する。この凹凸パターンの除去は、例えば、エッチングガスとして六フッ化硫黄ガスまたは臭化水素酸ガスを用いたRIE法によって行う。また、凹凸パターンが形成されたシリコン基板2を、例えば5wt%のフッ酸に浸漬させることによって、下層8aおよび上層10aからなる凹凸パターンを除去してもよい。
次に、本実施形態の凹凸パターン形成方法によって形成された凹凸パターンを用いてインプリント用のスタンパを形成する方法を、図7(a)乃至図7(d)を参照して説明する。このスタンパは磁性体加工型のビットパターンド磁気記録媒体(Magnetic bit-patterned media)の製造方法に用いられる。
まず、図7(a)に示すように、本実施形態の凹凸パターン形成方法によって、基板2上に設けられた凹凸パターン4aを有する原盤を用意する。続いて、図7(b)に示すように、凹凸パターン4aを覆うように、基板2上にニッケルスパッタ等によって薄い導電膜16を形成する。その後、図7(c)に示すように、電鋳法によってニッケル膜18を、凹凸パターン4aの凹部に充分に埋め込み、所望の膜厚となるように形成する。次に、図7(d)に示すように、ニッケル膜18を、凹凸パターン4aおよび基板2からなる原盤から剥離し、導電膜16およびニッケル膜18からなるスタンパ20を作成する。
次に、このスタンパ20を用いて、磁性体加工型のビットパターンド磁気記録媒体の製造方法について、図8(a)乃至図8(f)を参照して説明する。
まず、図8(a)に示すように、基板30上に記録層となる磁性層32が形成され、この磁性層32上にレジスト34が塗布された磁気記録媒体基板を用意する。この磁気記録媒体基板上に塗布されたレジスト34に上述のスタンパ20を用いてインプリントし(図8(a)参照)、スタンパ20のパターンをレジスト34に転写する(図8(b)参照)。
次に、レジスト34に転写されたパターンをマスクとしてレジスト34をエッチングし、レジストパターン34aを形成する(図8(c)参照)。その後、このレジストパターン34aをマスクとして磁性層32をイオンミリングする(図8(d)参照)。続いて、レジストパターン34aをドライエッチングまたは薬液によって除去し、ディスクリートな磁性層32aが形成される(図8(e)参照)。
次に、全面に保護膜36を形成し、磁気記録媒体を完成する(図8(f)参照)。なお、別途、溝等の凹の部分を非磁性材料で埋め込む工程を有していても構わない。
なお、この製造方法を用いてパターンを形成する基板の形状は、特に限定されるものではないが、円盤形状のもの、例えばシリコンウエハーなどが好ましい。ここで、円盤にノッチやオリフラがあっても構わない。他に基板としては、ガラス基板、アルミ系合金基板、セラミック基板、カーボン基板、化合物半導体基板などを用いることができる。ガラス基板には、アモルファスガラスまたは結晶化ガラスを用いることができる。アモルファスガラスとしては、ソーダライムガラス、アルミノシリケートガラスなどがある。結晶化ガラスとしては、リチウム系結晶化ガラスなどがある。セラミック基板としては、酸化アルミニウム、窒化アルミニウム、窒化珪素などを主成分とする焼結体や、これらの焼結体を繊維強化したものなどを用いることができる。化合物半導体基板としては、GaAs、AlGaAsなどがある。
次に、上記スタンパ20を用いて、基板加工型の磁気記録媒体の製造方法について、図9(a)乃至図9(d)を参照して説明する。
まず、スタンパ20を、図7(a)乃至図7(d)に示した手法と同様の手法を用いて作製する。
次に、以下のようにインプリントリソグラフィー法を用いて凹凸加工基板を作製する。図9(a)に示すように、基板40上にインプリント用のレジスト41を塗布する。続いて、図9(b)に示すように、基板40上のレジスト41にスタンパ20を対向させ、圧力をかけてレジスト41にスタンパ20を押し付けてスタンパ20の表面の凸部パターンをレジスト41の表面に転写する。その後、スタンパ20を取り外す。これにより、レジスト41は凹凸パターンが形成されたレジストパターン41aとなる(図9(b)参照)。
次に、レジストパターン41aをマスクとして基板40をエッチングすることにより、凹凸パターンが形成された基板40aを得る。その後、レジストパターン41aを除去する(図9(c)参照)。
続いて、図9(d)に示すように、基板40a上に垂直記録に適した材料からなる磁性膜43を成膜する。このとき、基板40aの凸部に成膜された磁性膜43が凸部磁性体部43aとなり、基板40aの凹部に成膜された磁性膜が凹部磁性体部43bとなる。なお、磁性膜43として、軟磁性下地層と強磁性記録層との積層膜とすることが好ましい。さらに磁性膜43上にカーボンからなる保護膜45を設け、さらに潤滑剤を塗布することにより、磁気記録媒体を作製する。
上述したように、本実施形態の凹凸パターン形成方法により得られる微細な凹凸パターンは肩落ちが抑制されるため、インプリント用スタンパの原盤としての使用にも適している。
(第2実施形態)
本発明の第2実施形態による凹凸パターン形成方法について、図10(a)乃至図10(d)を参照して説明する。この第2実施形態の凹凸パターン形成方法はマスクとして残置するガイドパターンの側部に優先的に膜形成することで、より肩落ちの小さいパターンを作成する方法である。
まず、第1実施形態と同様に、シリコン基板2上に例えばアモルファスシリコンからなる下地層4が形成された基材を用意し、下地層4上に、凸部と凹部がパターン状に配置された例えばカーボンからなるガイドパターン6を形成する。続いて、例えば、イオンビーム成膜法などの指向性の高い成膜方法を用いて、基材に対して45度以下の入射角で基材を自転させながら、凹凸パターン形成用の形成層の下層8として、酸化シリコン層を形成する(図10(a))。これにより、下層8は、ガイドパターン6の凸部上面および溝部底面に比べて、ガイドパターン6の側部に厚く形成される。その後、同様に指向性の高い成膜方法を用いて、基材に対して45度以下の入射角で基材を自転させながら、凹凸パターン形成用の形成層の上層10として、酸化アルミ層を形成する(図10(b))。続いて、RIE法を用いて、ガイドパターン6をエッチングし、下地層4に凹凸パターンを転写するためのマスクを形成する。このとき、ガイドパターン6の側部以外、すなわちガイドパターン6の上部および溝部の底部に存在する上層10および下層8も除去される。なお、図10(c)に示すように、ガイドパターン6は完全に除去せず、残置した状態であってもよい。
その後、上記マスクを用いて、第1実施形態の図3(a)に示す工程以降と同様の工程を用いて下地層4に凹凸パターンを転写し、凹凸パターンを形成する。
本実施形態の形成方法を用いて形成された形成層は第1実施形態と同様に、酸化シリコン層と、酸化アルミ層との2層構造となっており、さらに下層8および上層10のエッチング量が少なくなるため、凹凸パターンの凸部の肩落ちをさらに抑制することができる。
(第3実施形態)
次に、本発明の第3実施形態による凹凸パターン形成方法について、図11および図12を参照して説明する。この第3実施形態の凹凸パターン形成方法は、形成層の主元素が層厚方向において段階的に変化する形成方法である。
まず、本実施形態の凹凸パターン形成方法は、ガイドパターン6を形成するまでは、第1実施形態と同様にして形成する。そして、本実施形態においては、凹凸パターンを形成するための形成層の形成方法が、第1実施形態と異なっている。本実施形態においては、凹凸パターンを形成するための形成層として、酸化シリコン層と酸化アルミ層とを例えばALD法を用いて、原子層レベルで交互に形成する。そして、例えば、酸化シリコン層の原子層数はガイドパターン6から離れるにつれて減少し、酸化アルミ層の原子層数はガイドパターン6から離れるにつれて増加するように形成する。すなわち、図11に示すように、ガイドパターン6上に、酸化シリコン層と酸化アルミ層とが交互に形成される領域がA領域、B領域、C領域、D領域、E領域の順序で形成されとする。このとき、ガイドパターン6に最も近い領域Aでは、酸化シリコン層と酸化アルミ層との原子層数が4:0とし、B領域では3:1とし、C領域では2:2とし、D領域では1:3とし、E領域では0:4とする。
この時の、酸化シリコン層と酸化アルミ層の原子層数と、層厚方向の関係を図12に示す。この図12からわかるように、形成層の層厚方向において、少なくとも耐エッチング性材料の主たる元素、すなわちシリコンと、アルミとがステップ状に変化している。シリコンはガイドパターン6から離れるに連れてステップ状に減少し、アルミはガイドパターン6から離れるにつれてステップ状に増加している。
以上説明したように、本実施形態の形成方法を用いて形成された形成層は酸化シリコン層と、酸化アルミ層との層数が原子層レベルで交互に形成され、かつ酸化シリコン層の原子層数はガイドパターン6から離れるにつれて減少し、酸化アルミ層の原子層数はガイドパターン6から離れるにつれて増加するように形成されているので、第1実施形態と同様に、凹凸パターンの凸部の肩落ちおよび反りを可及的に抑制することができる。
なお、形成層の層厚方向に対する主元素種の変化は連続的でも良い。例えば、成膜時にCVD原料ガス種を変化させる、または成膜温度を変化させるなどの方法により、種元素種が連続的に変化した層の形成が可能となる。
(第4実施形態)
次に、本発明の第4実施形態による凹凸パターン形成方法について、図13(a)乃至図16を参照して説明する。この第4実施形態の凹凸パターン形成方法は、ガイドパターン除去時の形成層の後退を防止した形成方法である。
本実施形態の凹凸パターン形成方法は、ガイドパターン6を形成するまでは、第1実施形態と同様にして形成する(図13(a))。ガイドパターン(芯材)6は、第1実施形態においては、下地層4上にCVD法またはスパッタ法を用いてカーボン層を形成し、リソグラフィー技術によりレジストパターンを用いてカーボン層をパターニングし、酸素によるエッチングで線幅を細くする(スリミングする)ことにより形成した。なお、リソグラフィー技術を用いてレジストパターンをスリミングした後に、カーボン膜をパターニングしてもよい。このガイドパターンは、例えば、線幅が5nm、ピッチが20nm、高さが10nmのサイズを有している。
ALD法やCVD法、またはスパッタ法などの方法を用いて形成する。本実施形態では、下層12として、ALD法で酸化アルミを1nm形成する。なお、下層12としては、酸化アルミの他に、酸化チタン、酸化タンタル、酸化スズ、酸化クロム、酸化ジルコニウム、酸化イットリウム、酸化ニオブ、酸化インジウム、酸化ランタン、酸化ハフニウム、酸化亜鉛、または酸化バナジウムを等の酸化物を用いてもよい。これらの材料は、酸素プラズマを用いないでALD法で成膜可能である。また、下層12としては、窒化アルミ、窒化タンタル、窒化ニオブ、窒化チタン、窒化モリブデン、窒化ジルコニウム、窒化ハフニウム、窒化ガリウム、または窒化シリコン等の窒化物を用いてもよい。これらの窒化物の層は、ALD法を用いて形成することができる。この他にも、金属元素を主元素として含む層、例えば、白金、ルテニウム、イリジウム、パラジウム、銅、コバルト、ニッケル、またはこれらの混合物を用いてもよい。これらの金属の層は、ALD法を用いて形成することができる。次に、図13(c)に示すように、下層12を覆うように中層13を、ALD法、CVD法、またはスパッタ法などの方法を用いて形成する。本実施形態では、中層13として、ALD法を用いて酸化シリコンを3nm形成する。
次に、図14(a)に示すように、上層14を、ALD法、CVD法、またはスパッタ法などの方法を用いて形成する。本実施形態では、上層14として、ALD法を用いて酸化アルミを1nm形成する。なお、上層14としては、酸化アルミの他に、酸化チタン、酸化タンタル、酸化スズ、酸化クロム、酸化ジルコニウム、酸化イットリウム、酸化ニオブ、酸化インジウム、酸化ランタン、酸化ハルニウム、酸化亜鉛、または酸化バナジウム等の酸化物を用いてもよい。これらの材料は、酸素プラズマを用いないでALD法で成膜可能である。また、上層14としては、窒化アルミ、窒化タンタル、窒化ニオブ、窒化チタン、窒化モリブデン、窒化ジルコニウム、窒化ハフニウム、窒化ガリウム、または窒化シリコン等の窒化物を用いてもよい。これらの窒化物の層は、ALD法を用いて形成することができる。本実施形態では、下層12を酸化アルミ、中層13を酸化シリコン、上層を酸化アルミで形成する。他の材料を用いた実施形態の例として、下層12を窒化タンタル、中層13を酸化シリコン、上層14を酸化アルミで形成する形態や、下層12を窒化チタン、中層13を酸化シリコン、上層14を酸化アルミで形成する形態がある。
次に、図14(b)に示すように、酸化アルミからなる上層14を、エッチングによりトリミングする。すなわち、ガイドパターン6の上面上の酸化アルミ層14を選択的に除去することにより、ガイドパターン6の上面上の酸化シリコン層13を露出させるとともに、ガイドパターン6の側部にのみ酸化アルミ層14を残置する。トリミング方法として、例えばアルゴンイオンミリングにより、ガイドパターン6の上面上の酸化アルミ層14を選択的に除去して、ガイドパターン6の上面上の酸化シリコン層13を露出させる。あるいはフッ化炭素系ガス、フッ化水素化炭素系ガスによるRIEなどにより実施する。
次に、図14(c)に示すように、酸化シリコンからなる中層13を、エッチングによりトリミングする。すなわち、ガイドパターン6の上面上の酸化シリコン層13を選択的に除去することにより、ガイドパターン6の上面上の酸化アルミ層12を露出させるとともに、ガイドパターン6の側部にのみ酸化シリコン層13を残置する。エッチングとしてはフッ化炭素系ガス、フッ化水素化炭素系ガスによるRIEなどにより実施する。
次に、図15(a)に示すように、酸化アルミからなる下層12を、エッチングによりトリミングする。ガイドパターン6の上面上の酸化アルミ層12を選択的に除去し、ガイドパターン6の側部にのみ酸化アルミ層12を残置する。エッチングとしてはフッ化炭素系ガス、フッ化水素化炭素系ガスなどを主体に適宜アルゴンや酸素などを加えた混合ガスによるRIEなどにより実施する。
次に、図15(b)に示すように、酸素プラズマによるエッチングあるいはアッシングによりカーボンからなるガイドパターン6を除去することにより、下層、中層、および上層からなる凹凸パターン形成層が残置される。
このように、本実施形態においては、下層、中層、および上層により構成された凹凸パターン形成層(マスク)が形成される。このマスクとしては、例えば、線幅が5nm、ピッチが10nm、高さが10nmのサイズを有し、線幅が5nm、ピッチが20nm、高さが10nmのサイズのガイドパターン6に比べて、ピッチが1/2となり、ガイドパターン6よりも狭ピッチの凹凸パターン形成層を得ることができる。
また、中層13の更に外側に、上層14としてケミカルエッチングが進行し難い酸化アルミ層14が形成されているので、下層12を最終的にエッチングによりトリミングする際に、その側壁に対するサイドエッチングがほとんど進行せず、結果として肩落ちの無い凹凸パターン形成層(マスク)が形成される。
さらに、下層12として酸素プラズマ耐性のある酸化アルミをガイドパターン上に形成することでガイドパターンは酸素プラズマで保護されるため、形成層の形成工程においてガイドパターンの酸素プラズマによる後退が防止される。そのため、中層13の形成に酸素プラズマを用いた成膜方法が可能となる。プロセスマージンが大きくなることにより、コストダウンに寄与する。
このようにして形成された凹凸パターン形成層(マスク)の主元素の密度分布を図16に示す。下層12の主元素はアルミであり、中層13の主元素がシリコンであり、上層14の主元素はアルミである。
その後は、第1実施形態で説明したと同様にして、この凹凸パターン形成層をマスクとして、下地層4をパターニングし、凹凸パターンを形成する。この凹凸パターンを用いて、第1実施形態で説明したと同様にして、インプリント用のスタンパが形成することができる。
なお、本実施形態においては、下層12に含まれる金属元素は、上層14に含まれる金属元素と同じものであったが、異なる金属元素であってもよい。この場合、更に中層13がシリコンの代わりに金属元素を含んでいてもよいが、この中層13に含まれる金属元素は、下層12および上層14に含まれる金属元素と異なることが好ましい。
(第5実施形態)
本発明の第5実施形態による凹凸パターン形成方法を図17(a)乃至図19(b)を参照して説明する。本実施形態の凹凸パターン形成方法は、MTJ(Magnetic Tunnel Junction)を形成するために用いられる。
まず、図17(a)に示すように、第1の強磁性層51と、この第1の強磁性層上に形成されたトンネルバリア層52と、トンネルバリア層52上に形成された第2の強磁性層53とを有するMTJ膜40を用意する。続いて、このMTJ膜50の第2の強磁性層53上に、例えば膜厚50nmのタンタルからなるハードマスク層55を形成する。その後、ハードマスク層55上にカーボンからなるガイドパターン(芯材)56を形成する。このガイドパターン56の形成は、第1乃至第4の実施形態で説明した方法を用いて形成する。このガイドパターン56は、ハードマスク層55の上面に略直交する端面56aを有する例えば60nmの段差を備えている。この段差は、酸素によるエッチングで形成する。したがって、ガイドパターン56はハードマスク層55の一部を覆うが、ハードマスク層55の他の部分は露出している。このガイドパターン56および露出しているハードマスク層55を覆うように、下層58を形成する。この下層58はガイドパターン56の端面56aを覆うように形成される。この下層58は、例えば酸化シリコンからなっており、層厚は例えば14.4nmであって、ALD法、CVD法、またはスパッタ法などの方法で形成される。
次に、図17(b)に示すように、上層60を、ALD法、CVD法、またはスパッタ法などの方法で形成する。ここでは例えばALD法を用いて上層60として、酸化アルミ層を2nm形成する。
次に、図17(c)に示すように、上層である酸化アルミ層60を、エッチングによりトリミングする。すなわち、ガイドパターン56の上面およびハードマスク層55の上面に存在する酸化アルミ層60を除去して酸化シリコン層58を露出させ、ガイドパターン56の端面56aにのみ、酸化アルミ層層60を残置する。トリミングとして、例えばアルゴンイオンミリングにより選択的に酸化アルミ層層60を除去して選択的に酸化シリコン層58を露出させる。あるいはフッ化炭素系ガス、フッ化水素化炭素系ガスによるRIEなどにより実施する。
次に、図18(a)に示すように、下層である酸化シリコン層58を、エッチングによりトリミングする。すなわち、ガイドパターン56の上面およびハードマスク層55の上面に存在する酸化シリコン層58を除去し、ガイドパターン56の端面56aにのみ、酸化シリコン層58を残置する。トリミングとしては、フッ化炭素系ガス、フッ化水素化炭素系ガスによるRIEなどにより実施する。
次に、図18(b)に示すように、酸素プラズマによるエッチングあるいはアッシングによりカーボンからなるガイドパターン56を除去する。その結果、下層58および上層60により構成された凹凸パターン形成層(マスク)が形成される。このときのマスクは、例えば幅が15nm、高さが60nmのサイズを有している。
また、酸化シリコンからなる下層58の外側に、上層60としてケミカルエッチングが進行し難い酸化アルミ層60が形成されているので、下層58を最終的にエッチングによりトリミングする際に、その下層58に対するサイドエッチングがほとんど進行せず、結果として肩落ちの無い凹凸パターン形成層(マスク)が形成される。
次に、図18(c)に示すように、下層58および上層60により構成された凹凸パターン形成層をマスクとして、ハードマスク層55をパターニングし、ハードマスク材からなるライン状のハードマスク45aを形成する。続いて、下層58および上層60により構成された凹凸パターン形成層を図示しない絶縁膜で覆い、CMP(Chemical Mechanical Polishing)を用いて上記平坦化して凹凸パターン形成層の上面を露出させる。次いで、上述したと同様のプロセスを用いて、ライン状のハードマスク55aに略直交する方向に、エッチングするためのマスク(図示せず)を形成し、このマスクを用いて下地となる凹凸パターン形成層およびハードマスク55aをエッチングすることにより、矩形形状のハードマスク55bが形成される(図19(a))。このハードマスク55bを用いて、MTJ膜50をパターニングし、MTJ50aを形成する(図19(b))。ここでは、MTJ50aの加工はイオンミリング等の物理的エッチングを用い、所望の形状に加工される。
本実施形態も、第1実施形態と同様に、凹凸パターンの凸部の肩落ちおよび反りを可及的に抑制することができる。

Claims (8)

  1. 基材上に凸部を有するガイドパターンを形成する工程と、
    第1の金属元素および類金属元素から選択される少なくとも1つの元素を含む第1の層と、前記第1の金属元素と異なる第2の金属元素を含む第2の層とが積層された積層構造を含む形成層を前記ガイドパターン上に形成する工程と、
    前記形成層をエッチングすることで前記凸部の側部にのみ前記形成層を選択的に残置する工程と、
    前記ガイドパターンを除去する工程と、
    残置された前記形成層をマスクとして前記基材をエッチングすることにより前記基材に凹凸パターンを形成する工程と、
    を備えていることを特徴とする凹凸パターン形成方法。
  2. 前記形成層を形成する工程は、
    前記ガイドパターンを覆うように前記第1の層を形成する工程と、
    前記第1の層を覆うように前記第2の層を形成する工程と、
    を備えていることを特徴とする請求項1記載の凹凸パターン形成方法。
  3. 前記第1の層は酸化シリコン層であり、前記第2の層は酸化アルミ層であることを特徴とする請求項2記載の凹凸パターン形成方法。
  4. 前記形成層を形成する工程は、
    前記ガイドパターンを覆うように、前記第2の金属元素と同じ金属元素を含む第3の層を形成する工程と、
    前記第3の層を覆うように前記第1の層を形成する工程と、
    前記第1の層を覆うように前記第2の層を形成する工程と、
    を備えていることを特徴とする請求項1記載の凹凸パターン形成方法。
  5. 前記第1の層は酸化シリコン層であり、前記第2の層は酸化アルミ層であり、前記第3の層は酸化アルミ層であることを特徴とする請求項4記載の凹凸パターン形成方法。
  6. 前記形成層を形成する工程は、
    前記ガイドパターンを覆うように、前記第1及び第2の金属元素と異なる金属元素を含む第3の層を形成する工程と、
    前記第3の層を覆うように前記第1の層を形成する工程と、
    前記第1の層を覆うように前記第2の層を形成する工程と、
    を備えていることを特徴とする請求項1記載の凹凸パターン形成方法。
  7. 前記形成層は、ALD法によって形成されることを特徴とする請求項1記載の凹凸パターン形成方法。
  8. 前記形成層は、前記第1の層と前記第2の層との積層構造を複数組有し、それぞれの組は、前記ガイドパターンから遠ざかるにつれて前記第1の層の原子層数は減少し、前記第2の層の原子層数は増加するように構成されていることを特徴とする請求項1記載の凹凸パターン形成方法。
JP2011514252A 2009-05-20 2009-05-20 凹凸パターン形成方法 Expired - Fee Related JP5356516B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2009/059287 WO2010134176A1 (ja) 2009-05-20 2009-05-20 凹凸パターン形成方法

Publications (2)

Publication Number Publication Date
JPWO2010134176A1 JPWO2010134176A1 (ja) 2012-11-08
JP5356516B2 true JP5356516B2 (ja) 2013-12-04

Family

ID=43125874

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011514252A Expired - Fee Related JP5356516B2 (ja) 2009-05-20 2009-05-20 凹凸パターン形成方法

Country Status (5)

Country Link
US (1) US8420499B2 (ja)
JP (1) JP5356516B2 (ja)
KR (1) KR101311621B1 (ja)
CN (1) CN102428544B (ja)
WO (1) WO2010134176A1 (ja)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8717710B2 (en) * 2012-05-08 2014-05-06 HGST Netherlands, B.V. Corrosion-resistant bit patterned media (BPM) and discrete track media (DTM) and methods of production thereof
JP6096438B2 (ja) * 2012-08-27 2017-03-15 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014079903A (ja) * 2012-10-15 2014-05-08 Hoya Corp インプリント用モールドの製造方法
JP6357753B2 (ja) * 2012-10-30 2018-07-18 大日本印刷株式会社 ナノインプリントモールドの製造方法
JP6136271B2 (ja) * 2013-01-08 2017-05-31 大日本印刷株式会社 インプリントモールドの製造方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6091940B2 (ja) * 2013-03-11 2017-03-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6156013B2 (ja) * 2013-09-24 2017-07-05 大日本印刷株式会社 インプリントモールドの製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9299924B1 (en) 2015-06-29 2016-03-29 International Business Machines Corporation Injection pillar definition for line MRAM by a self-aligned sidewall transfer
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6565415B2 (ja) * 2015-07-22 2019-08-28 大日本印刷株式会社 インプリントモールド製造用の基板およびインプリントモールドの製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102630349B1 (ko) * 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020017569A (ja) * 2018-07-23 2020-01-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US10937945B2 (en) 2019-01-22 2021-03-02 International Business Machines Corporation Structured pedestal for MTJ containing devices
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN115565867A (zh) 2019-06-27 2023-01-03 朗姆研究公司 交替蚀刻与钝化工艺
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7357528B2 (ja) 2019-12-06 2023-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102292282B1 (ko) * 2021-01-13 2021-08-20 성균관대학교산학협력단 비등방성 기계적 팽창 기판 및 이를 이용한 크랙 기반 압력 센서
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023190168A1 (ja) * 2022-03-31 2023-10-05 大日本印刷株式会社 硬化膜形成方法、インプリントモールド用基板の製造方法、インプリントモールドの製造方法、凹凸構造体の製造方法、パターン形成方法、ハードマスク形成方法、絶縁膜形成方法及び半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04320036A (ja) * 1991-04-18 1992-11-10 Hitachi Ltd 半導体装置およびその製造方法
JP2000173979A (ja) * 1998-12-07 2000-06-23 Sanyo Electric Co Ltd エッチングマスク及び微細パターンの形成方法
US20070049040A1 (en) * 2005-03-15 2007-03-01 Micron Technology, Inc., A Corporation Multiple deposition for integration of spacers in pitch multiplication process
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2940689B2 (ja) * 1990-03-23 1999-08-25 三洋電機株式会社 アクティブマトリクス型表示装置の薄膜トランジスタアレイ及びその製造方法
JPH0677180A (ja) 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
KR100848087B1 (ko) 2001-12-11 2008-07-24 삼성전자주식회사 기판 위에 패턴을 형성하는 방법 및 이를 이용한 액정표시 장치용 기판의 제조 방법
US6821907B2 (en) * 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
JP3913203B2 (ja) * 2003-08-28 2007-05-09 松下電器産業株式会社 半導体装置
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
JP4795214B2 (ja) 2006-12-07 2011-10-19 チェイル インダストリーズ インコーポレイテッド ワイヤーグリッド偏光子及びその製造方法
US8072601B2 (en) * 2007-02-28 2011-12-06 Kabushiki Kaisha Toshiba Pattern monitor mark and monitoring method suitable for micropattern
JP4982582B2 (ja) 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04320036A (ja) * 1991-04-18 1992-11-10 Hitachi Ltd 半導体装置およびその製造方法
JP2000173979A (ja) * 1998-12-07 2000-06-23 Sanyo Electric Co Ltd エッチングマスク及び微細パターンの形成方法
US20070049040A1 (en) * 2005-03-15 2007-03-01 Micron Technology, Inc., A Corporation Multiple deposition for integration of spacers in pitch multiplication process
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US20120115250A1 (en) 2012-05-10
JPWO2010134176A1 (ja) 2012-11-08
WO2010134176A1 (ja) 2010-11-25
KR101311621B1 (ko) 2013-09-26
CN102428544B (zh) 2014-10-29
KR20120024616A (ko) 2012-03-14
CN102428544A (zh) 2012-04-25
US8420499B2 (en) 2013-04-16

Similar Documents

Publication Publication Date Title
JP5356516B2 (ja) 凹凸パターン形成方法
CN105609471B (zh) 用于垂直nand孔蚀刻的镀覆金属硬掩模
TWI302635B (en) Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit
US8368182B2 (en) Semiconductor devices including patterns
TWI409852B (zh) 利用自對準雙重圖案製作半導體元件微細結構的方法
US6949833B2 (en) Combined atomic layer deposition and damascene processing for definition of narrow trenches
CN102543102A (zh) 使用pvd溅射碳膜作为阻挡层以形成磁记录头的镶嵌处理
CN109478502B (zh) 用于形成用以图案化衬底的结构的方法、图案化衬底的方法以及形成掩膜的方法
JP2009130035A (ja) 半導体装置の製造方法
US6664026B2 (en) Method of manufacturing high aspect ratio photolithographic features
JP2006344352A (ja) 窪みの形成方法、枠型の形成方法および枠型
JP2007225688A (ja) 3次元フォトニック結晶の形成方法
CN109545790A (zh) 三维存储器的沟道孔的形成方法
US9308676B2 (en) Method for producing molds
WO2022198949A1 (zh) 半导体结构的制作方法
EP2911185B1 (en) Method for etching organic film
JP5743718B2 (ja) 成形型の製造方法及び光学素子
CN111627808B (zh) 半导体结构及其形成方法
JP5499920B2 (ja) 半導体光デバイスの製造方法
TW200824002A (en) Method for fabricating semiconductor device
KR0178238B1 (ko) 박막 자기 헤드의 하부 자성층 패턴 형성 방법
US20110226625A1 (en) Master mold manufacturing method and mold structure manufacturing method
US8642484B2 (en) Method for manufacturing semiconductor device
JP5038218B2 (ja) 3次元フォトニック結晶の製造方法
Dupuy et al. Spectral analysis of sidewall roughness during resist-core self-aligned double patterning integration

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130802

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130828

LAPS Cancellation because of no payment of annual fees