JP2022539991A - 交互のエッチングプロセスおよび不動態化プロセス - Google Patents

交互のエッチングプロセスおよび不動態化プロセス Download PDF

Info

Publication number
JP2022539991A
JP2022539991A JP2021575911A JP2021575911A JP2022539991A JP 2022539991 A JP2022539991 A JP 2022539991A JP 2021575911 A JP2021575911 A JP 2021575911A JP 2021575911 A JP2021575911 A JP 2021575911A JP 2022539991 A JP2022539991 A JP 2022539991A
Authority
JP
Japan
Prior art keywords
tin oxide
etch
silicon
plasma
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021575911A
Other languages
English (en)
Other versions
JP7320085B2 (ja
JPWO2020263757A5 (ja
Inventor
ホ・ソンジュン
ユ・ジェンイ
リャン・チェンウェイ
ジャンセン・アラン・ジェイ.
タン・サマンサ・エス.エイチ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022539991A publication Critical patent/JP2022539991A/ja
Publication of JPWO2020263757A5 publication Critical patent/JPWO2020263757A5/ja
Priority to JP2023118733A priority Critical patent/JP2023134781A/ja
Application granted granted Critical
Publication of JP7320085B2 publication Critical patent/JP7320085B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】酸化スズ膜は、半導体デバイスの製造においてスペーサおよびハードマスクとして使用される。一方法では、酸化スズ層(例えば、スペーサフッティング)は、露出したシリコン含有層、例えばSiOC、SiON、SiONC、非晶質シリコン、SiC、またはSiNの存在下で選択的にエッチングされる必要がある。シリコン含有層への損傷を低減するために、プロセスは、シリコン含有層を酸化スズエッチング化学物質に向けて不動態化することと、酸化スズをエッチングすることと、不動態化およびエッチングを交互に繰り返すこととを伴う。例えば、不動態化およびエッチングは各々、2~50回実施することができる。一実施態様では、不動態化は、基板をプラズマで活性化された酸素含有反応剤で処理することによって実施され、酸化スズエッチングは、Cl2とBCl3の混合物を使用するなどの塩素系化学物質によって実施される。【選択図】 図13

Description

参照による援用
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
本発明は、半導体デバイスの製造方法に関する。具体的には、本発明の実施形態は、半導体処理において酸化スズ膜を使用する方法に関する。
集積回路(IC)製作では、誘電体層に埋め込まれた金属線を形成するためなど、材料のパターンを形成するために堆積およびエッチング技術が使用される。一部のパターニングスキームでは、スペーサを使用して、正確なパターニングおよび小規模なフィーチャの形成を可能にする。スペーサは、定義された距離(典型的には以前のパターニングによって決定される)によって分離されるように基板上に形成され、下にある層のパターニングのためのマスクとして使用される。スペーサおよび周囲の層の材料は、スペーサの形成と下にある層のパターニングの両方を可能にする適切なエッチング選択性を有するように選択される。パターニングが完了した後、スペーサはエッチングによって除去されるため、最終的に製作された半導体デバイスの一部ではない。
スペーサは、ダイナミックランダムアクセスメモリ(DRAM)の形成、フィン電界効果トランジスタ(finFET)でのフィンのパターニング、バックエンドオブライン(BEOL)処理など、様々な用途でのパターニングに使用される。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
一態様では、半導体基板を処理する方法が提供され、方法は、(a)シリコン含有層の上に複数の突起フィーチャを有する半導体基板を設けることであって、半導体基板は、露出した酸化スズと、シリコン含有層の露出したシリコン含有材料とを含むことと、(b)露出したシリコン含有材料を酸化スズエッチング化学物質に向けて不動態化することと、(c)酸化スズエッチング化学物質を使用して露出した酸化スズをエッチングすることと、(d)動作(b)および(c)が交互に実施されるように動作(b)および(c)を繰り返すこととを含む。この方法を使用すると、シリコン含有層に損傷を与えることなく、突起フィーチャの近くの酸化スズフッティングを低減することができる。
いくつかの実施形態では、シリコン含有材料は、非晶質シリコン、酸化シリコン、SiON、SiOC、SiONC、SiC、およびSiNの少なくとも1つである。(c)において酸化スズをエッチングすることは、一実施形態では、塩素系エッチング化学物質を使用して、半導体基板をCl2、BCl3、およびそれらの組み合わせからなる群から選択されるプラズマ活性化塩素含有反応剤に曝露することを含む。いくつかの実施態様では、プラズマは、Cl2、BCl3、およびそれらの組み合わせからなる群から選択される塩素含有反応剤、ならびにヘリウム、ネオン、アルゴン、キセノン、およびそれらの組み合わせからなる群から選択される希釈ガスを含むプロセスガスで形成される。
一実施形態では、(c)において酸化スズをエッチングすることは、水素系エッチング化学物質を使用して酸化スズをエッチングすることを含み、その結果、水素化スズが形成される。例えば、水素系エッチング化学物質を使用して酸化スズをエッチングすることは、半導体基板をH2、HBr、NH3、H2O、炭化水素、およびそれらの組み合わせからなる群から選択されるプラズマ活性化水素含有反応剤と接触させることによって実施することができる。
いくつかの実施形態では、シリコン含有層の不動態化は、基板を酸素含有反応剤で処理することによって実施される。例えば、シリコン含有層は、基板をプラズマ活性化酸素含有反応剤で処理することによって不動態化することができる。特定の実施形態では、プラズマは、O2、O3、SO2、およびCO2からなる群から選択されるガスを含むプロセスガスで形成される。
いくつかの実施形態では、不動態化ステップ(b)は、エッチングステップ(c)の前に実施される。いくつかの実施形態では、エッチングステップ(c)は、不動態化ステップ(b)の前に実施される。いくつかの実施形態では、ステップ(b)および(c)は、2~50回実施される。
いくつかの実施形態では、突起フィーチャは、水平面と、側壁とを含み、方法は、突起フィーチャの側壁における酸化スズフッティングを低減する。
一実施態様では、シリコン含有材料は、非晶質シリコン、酸化シリコン、SiON、SiOC、SiONC、SiC、およびSiNからなる群から選択され、(b)における不動態化は、基板をプラズマ活性化酸素含有反応剤で処理することを含み、(c)におけるエッチングすることは、半導体基板をCl2、BCl3、およびそれらの組み合わせからなる群から選択されるプラズマ活性化塩素含有反応剤に曝露することを含む、塩素系エッチング化学物質を使用して酸化スズをエッチングすることを含む。
いくつかの実施形態では、(b)における不動態化は、半導体基板を窒素含有反応剤で処理することを含む。
いくつかの実施形態では、方法は、フォトレジストを半導体基板に塗布することと、フォトレジストを光に曝露することと、フォトレジストをパターニングし、パターンを半導体基板に転写することと、半導体基板からフォトレジストを選択的に除去することとをさらに含む。
別の態様では、半導体基板を処理するための装置が提供され、装置は、(a)処理チャンバであって、半導体基板用の支持体、および1つまたは複数の反応剤を処理チャンバに導入するための入口を有する処理チャンバと、(c)コントローラであって、(i)酸化スズエッチング化学物質に向けて半導体基板上のシリコン含有層の不動態化を引き起こし、(ii)半導体基板上に酸化スズのエッチングを引き起こし、(iii)(i)および(ii)を交互に繰り返すためのプログラム命令を含むコントローラとを含む。いくつかの実施形態では、(i)は、プラズマ活性化酸素含有反応剤(例えば、プラズマ活性化O2)による半導体基板の処理を引き起こすことを含む。いくつかの実施形態では、(ii)は、塩素系化学物質を使用して酸化スズのエッチングを引き起こすことを含む。いくつかの実施形態では、(ii)は、水素系化学物質を使用して酸化スズのエッチングを引き起こすことを含む。いくつかの実施形態では、プログラム命令は、(i)および(ii)を2~50回交互に実施するための命令を含む。
別の態様では、装置が提供され、装置は、プロセスチャンバと、本明細書で提供される方法のいずれかを引き起こすためのプログラム命令を有するコントローラとを含む。
別の態様では、本明細書に記載の方法のいずれかを実施するように構成されたコードを含む、非一時的コンピュータ可読媒体が提供される。
本明細書に記載の主題の実施態様のこれらおよび他の態様は、添付の図面および以下の説明に記載されている。
図1Aは、スペーサ形成を伴う処理を受けている半導体基板の概略断面図である。 図1Bは、スペーサ形成を伴う処理を受けている半導体基板の概略断面図である。 図1Cは、スペーサ形成を伴う処理を受けている半導体基板の概略断面図である。 図1Dは、スペーサ形成を伴う処理を受けている半導体基板の概略断面図である。 図1Eは、スペーサ形成を伴う処理を受けている半導体基板の概略断面図である。 図1Fは、スペーサ形成を伴う処理を受けている半導体基板の概略断面図である。
図2は、本明細書で提供される一実施形態による方法のプロセスフロー図である。
図3Aは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図3Bは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図3Cは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図3Dは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図3Eは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。
図4は、本明細書で提供される一実施形態による酸化スズスペーサを形成する方法のプロセスフロー図である。
図5Aは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図5Bは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図5Cは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。
図5Dは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Eは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Fは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Gは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。
図5Hは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Iは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Jは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Kは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。
図5Lは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Mは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Nは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。 図5Oは、本明細書で提供される別の実施形態による処理を受けている半導体基板の概略断面図である。
図6は、本明細書で提供される一実施形態による半導体の方法のプロセスフロー図である。
図7Aは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図7Bは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図7Cは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。
図8は、本明細書で提供される一実施形態による方法のプロセスフロー図である。
図9Aは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図9Bは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図9Cは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。
図10は、本明細書で提供される一実施形態による方法のプロセスフロー図である。
図11は、本明細書で提供されるエッチング化学物質を使用して酸化スズをエッチングするのに適した装置の概略図である。
図12は、本明細書で提供される一実施形態によるマルチステーション処理システムの概略図である。
図13は、本明細書で提供される一実施形態による方法のプロセスフロー図である。
図14Aは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図14Bは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。 図14Cは、本明細書で提供される一実施形態による処理を受けている半導体基板の概略断面図である。
半導体デバイスの製造において酸化スズ膜を用いる方法が提供される。方法は、調節可能なエッチング速度および選択性を備えた多数のエッチングプロセスを利用して、多種多様な材料(例えば、シリコン含有化合物(例えば、酸化シリコン(SiO2)、炭化シリコン(SiC)、窒化シリコン(SiN)、シリコンオキシカーバイド(SiOC)、シリコンオキシナイトライド(SiNO)、シリコンオキシカーボナイトライド(SiCNO)、およびシリコンカーボナイトライド(SiCN))、元素シリコン(Si)、炭素(非晶質炭素およびダイヤモンド状炭素を含む)、フォトレジスト、炭素含有化合物(例えば、有機ポリマー、金属炭化物、タングステン含有炭素)、金属(例えば、タングステン)、金属酸化物(例えば、酸化チタン、酸化ハフニウム、酸化ジルコニウム、酸化タンタル)、および金属窒化物(例えば、窒化タンタル(TaN)および窒化チタン(TiN))を用いるプロセススキームへの酸化スズ膜の統合を可能にする。いくつかの実施形態では、酸化スズは、これらの材料のいずれかの存在下で、少なくとも約10:1、例えば、少なくとも約20:1のエッチング選択性でエッチングされる。いくつかの実施形態では、これらの材料のいずれかは、酸化スズの存在下で、少なくとも約10:1、例えば、少なくとも約20:1のエッチング選択性でエッチングされる。
いくつかの実施形態では、酸化スズは、酸化スズ膜がスペーサまたはハードマスク(例えば、フォトレジストの下およびターゲット層の上に位置決めされた中間層)であるパターニングプロセスで使用される。例えば、酸化スズは、自己整合スペーサ支援パターニングのスペーサ、自己整合ダブルパターニング(SADP)もしくは自己整合4重パターニング(SAQP)の中間層、コンタクトホールハードマスク、極端UV(EUV)ハードマスク、リバーストーンマスク、またはラインのバックエンド(BEOL)ハードマスクにすることができる。選択的エッチングプロセスと組み合わせて、酸化スズは、これらの用途によって課せられる厳しい限界寸法(CD)/プロファイルおよび選択性の要件を満たす。エッチングプロセスは、Lam Research Corporationによって提供されるKiyo(登録商標)またはFlex(商標)エッチングツールなど、プラズマエッチングを可能にする様々なツールで実装することができる。
酸化スズは、酸化スズを揮発性の水素化スズ生成物(例えば、四水素化スズ)に変換する水素系エッチングを使用して、多種多様な材料に選択的にエッチングすることができる。本明細書で使用される「水素化スズ」という用語は、複数のスズ水素化物(スズ-水素結合を有する化合物)を含み、スズ四水素化物(SnH4)だけに限定されない。「塩化スズ」および「フッ化シリコン」などの用語は、複数の塩化物およびフッ化物を同様に含んでもよい。四水素化スズは、多くの他の金属の水素化物とは異なり、低い沸点を有し、したがってパージおよび/または排気によってプロセスチャンバから容易に除去することができ、それにより水素系エッチングを選択的酸化スズエッチングにとって特に魅力的なプロセスにする。
本明細書で使用される酸化スズは、スズ(Sn)および酸素(O)を含む材料を指し、任意選択で水素を含んでもよい。本明細書で使用される酸化スズは、他の元素の総量が10原子%以下である場合(水素は含有量の計算に含まれない)、炭素および窒素などの少量の他の元素をさらに含み得る。例えば、ALDで堆積した酸化スズは、約0.5~5原子%の炭素を含んでもよい。酸化スズは、例えば、ALD、PECVD、またはPVDによって堆積させることができる。酸化スズの化学量論は、一般に変化する可能性がある。いくつかの実施形態では、スズと酸素の原子比率は、約1:2(SnO2)である。SnO2では1:2スズから酸素化学量論へのわずかな偏差が可能であり、SnO2構造の範囲内であることが理解される。例えば、SnO2のいくつかの例では、O対Snの原子比率は、約2.0~2.3である。約1.5~2.5のO対Sn比率の酸化スズは、本明細書で使用されるSnO2材料の範囲内にある。本明細書に記載の酸化スズ材料は、酸化インジウムスズ材料および他の混合酸化物とは異なる。
本明細書で使用される他の化合物では、化学量論は、指定されない限り変化し得ることが理解される。例えば、SiNおよびHfOなどの式は存在する元素を指定するが、化学量論は指定しない。さらに、本明細書に記載の材料は、水素(式で指定されていない場合であっても)および化学名に明示的に列挙されていない少量のドーパント(例えば、ドーパントの10原子%未満)を含み得ることが理解される。
本明細書で使用される「半導体基板」という用語は、その構造内の任意の場所に半導体材料を含む、半導体デバイス製作の任意の段階にある基板を指す。半導体基板中の半導体材料は、露出する必要がないことが理解される。半導体材料を覆う他の材料(例えば、誘電体)の複数の層を有する半導体ウエハは、半導体基板の例である。以下の詳細な説明は、開示された実施態様がウエハ上で実施されることを前提としている。しかし、開示された実施態様は、そのように限定されない。ワークピースは、様々な形状、サイズ、および材料のものである可能性がある。半導体ウエハに加えて、開示された実施態様を利用することができる他のワークピースには、プリント回路基板などの様々な物品が挙げられる。
いくつかの実施形態では、提供される選択的エッチング化学物質を使用して、他の材料または特徴を除去することなく、基板上の特定の材料または特徴を除去する。本明細書で使用される場合、エッチング化学物質は、材料または特徴の少なくとも90%(例えば、100%)(垂直方向の厚さを指す)が除去されるとき、材料または特徴を「除去」する。本明細書で使用される「除去せずに」という用語は、材料または特徴の少なくとも50%(例えば、少なくとも80%)がエッチング後に残ることを意味する(%は、垂直方向の厚さを指す)。
いくつかの実施形態では、提供された方法を使用して、突起フィーチャの側壁に存在する材料を除去することなく、水平面から材料を除去する。本明細書で使用される水平面は、突起フィーチャの上部にある凸状のキャップなど、水平面から局所的に逸脱した表面を含むことが理解される。
他の材料の存在下での酸化スズの選択的エッチング、ならびに酸化スズの存在下での他の材料の選択的エッチングのために、様々なエッチング化学物質が開発されてきた。別の材料の存在下での酸化スズの選択的エッチングは、酸化スズエッチングを指し、酸化スズのエッチング速度と他の材料のエッチング速度の比率は、1よりも大きく、他の材料は、エッチングプロセスの任意の時点で酸化スズと同じエッチング化学物質に曝露される。例えば、他の材料は、エッチングが始まるときに曝露される可能性があり、またはエッチングの過程で曝露される可能性がある。別の材料の存在下での酸化スズの選択的エッチングのためのエッチング選択性は、所与の化学物質について他の材料のエッチング速度に対する酸化スズのエッチング速度の比率を指す。例えば、酸化スズは、50を超えるエッチング選択性を有する水素系エッチング化学物質を使用して、シリコン含有化合物の存在下で選択的にエッチングすることができる。
同様に、酸化スズの存在下での材料の選択的エッチングは、その材料のかかるエッチングを指し、その材料のエッチング速度と酸化スズのエッチング速度の比率は、1よりも大きく、酸化スズは、エッチングプロセスの任意の時点でエッチングされた材料と同じエッチング化学物質に曝露される。例えば、酸化スズは、エッチングが始まるときに曝露される可能性があり、またはエッチングの過程で曝露される可能性がある。酸化スズの存在下での材料の選択的エッチングのエッチング選択性は、所与の化学物質についての酸化スズのエッチング速度に対するその材料のエッチング速度の比率を指す。例えば、炭素は、50を超えるエッチング選択性を有する酸素系エッチング化学物質を使用して、酸化スズの存在下で選択的にエッチングすることができる。
いくつかの実施形態では、半導体基板が提供され、半導体基板は、露出した酸化スズ層と、第2の材料の層とを含み、第2の材料は、露出されていても露出されていなくてもよい。次に、酸化スズは、本明細書に記載の選択的酸化スズエッチング化学物質の1つを使用して、第2の材料の存在下で選択的にエッチングされる。例えば、酸化スズは、水素系化学物質および/または塩素系化学物質を使用して選択的にエッチングすることができる。第2の材料は、このエッチングの前に露出されてもよいし、または酸化スズエッチングの過程で露出されてもよい。
いくつかの実施形態では、半導体基板が提供され、半導体基板は、第1の材料の露出した層と、酸化スズの層とを含み、酸化スズは、露出されていても露出されていなくてもよい。次に、第1の材料は、本明細書に記載の選択的化学物質の1つを使用して、酸化スズの存在下で選択的にエッチングされる。酸化スズは、このエッチングの前に露出されてもよいし、または酸化スズエッチングの過程で露出されてもよい。
水素系エッチング
いくつかの実施形態では、選択的酸化スズエッチングは、水素系エッチングを使用して実施される。水素系エッチングは、酸化スズを水素含有反応剤に曝露し(典型的には反応剤のプラズマ活性化を伴う)、酸化スズを揮発性水素化スズに変換することを伴う。SnH4は、-52℃の沸点を有し、プロセスチャンバから容易に除去することができる。水素含有反応剤の例には、H2、HBr、NH3、H2O、および炭化水素(CH4など)が挙げられる。水素含有反応剤の混合物もまた、使用することができる。水素系エッチングは、水素含有反応剤、および任意選択で不活性ガスを含むプロセスガスでプラズマを形成し、基板を形成されたプラズマと接触させることを伴う。不活性ガスの例には、窒素(N2)、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、およびキセノン(Xe)が挙げられる。いくつかの実施形態では、H2が好ましい水素含有反応剤であり、いくつかの実施形態では、少なくとも50体積%、例えば少なくとも80体積%のH2を含むガスでプラズマを形成することが好ましい。他の実施形態では、HBrが水素含有反応剤として使用される。例えば、酸化スズは、HBr、N2、およびアルゴンの混合物など、本質的にHBrおよび不活性ガスからなるプロセスガスで形成されたプラズマで選択的にエッチングすることができる。水素系エッチングは、典型的には、酸素含有種およびフッ素含有種を含まないプロセスガスを用いて実行される。いくつかの実施形態では、プロセスガスは、本質的に1つまたは複数の水素含有反応剤、および任意選択で不活性ガスからなる。
水素系エッチングは、以下の材料:SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、スピンオングラスなどのシリコン含有化合物;酸化チタン、酸化タングステン、および酸化ジルコニウムなどの金属酸化物;窒化チタンおよび窒化タンタルなどの金属窒化物;タングステンなどの金属;フォトレジストおよび有機ポリマーの存在下で酸化スズを選択的に除去することができる。さらに、水素系エッチングを使用して、酸化シリコンで覆われたシリコンの存在下で酸化スズを選択的にエッチングすることができる。シリコンが大気に曝露されると、酸化シリコンがシリコン表面上に形成されることが多い。水素系エッチングを使用して、元素シリコン(例えば、非晶質シリコン)および炭素の存在下で酸化スズを選択的にエッチングすることもできる。さらに、水素系エッチングを使用して、金属炭化物、ならびに金属および炭素を含む材料の存在下で酸化スズを選択的にエッチングすることができる。例えば、酸化スズは、タングステン炭素材料(タングステンドープ炭素とも呼ばれる)の存在下で水素系エッチングにより選択的にエッチングすることができる。いくつかの実施形態では、タングステン炭素材料は、約20~60原子%のタングステンを含む。
いくつかの実施形態では、半導体基板が提供され、半導体基板は、露出した酸化スズ層と、これらの材料のいずれかの層とを含む。次に、酸化スズが、これらの材料の存在下で選択的にエッチングされる。これらの材料は、このエッチングの前に露出されてもよいし、または酸化スズエッチングの過程で露出されてもよい。
いくつかの実施形態における水素系エッチングのエッチング選択性は、10を超える(30を超える、例えば、50を超える、または80を超えるなど)。エッチング選択性とは、選択されたプロセス条件における他の材料のエッチング速度に対する酸化スズのエッチング速度の比率を指す。いくつかの例では、H2プラズマを使用してSiO2に対して酸化スズをエッチングするために、100のエッチング選択性が達成された。
水素プラズマ(水素含有反応剤で形成されたプラズマを指す)を利用する酸化スズエッチング法は、広範囲のプロセス条件下で様々な装置において実施することができる。一実施態様では、方法は、酸化スズの露出した層を有する半導体基板をエッチングチャンバに提供することと、基板をH2(または別の水素含有ガス)を含むプロセスガス、および任意選択でヘリウムまたは別の不活性ガスなどのキャリアガスで形成されたプラズマと接触させることとを伴う。「エッチングチャンバ」または「エッチング装置」という用語は、エッチング用に構成されたチャンバおよび装置を指す。いくつかの実施形態では、「エッチングチャンバ」または「エッチング装置」は、エッチング動作専用に構成される。他の実施形態では、「エッチングチャンバ」または「エッチング装置」は、例えば、エッチングに加えて堆積などの他の動作を実施するように構成され得る。例えば、いくつかの実施形態では、エッチングチャンバはまた、ALD堆積のために使用されてもよい。
いくつかの実施形態では、水素プラズマエッチングで使用されるプラズマは、半導体基板を収容する同じプロセスチャンバで生成される。他の実施形態では、プラズマは遠隔で生成され、プロセスチャンバ内の1つまたは複数の入口を通して、基板を収容するプロセスチャンバに導入される。
エッチングは、酸化スズを揮発性水素化スズに変換するように制御される。一実施形態では、プロセスガス中のH2含有量は、少なくとも50体積%、例えば少なくとも80体積%である(100体積%を含む100体積%まで可能)。いくつかの実施形態では、プロセスガスは、CH4などの炭化水素をさらに含み得る。いくつかの実施形態では、プロセスガスは、Cl2をさらに含む。例えば、プロセスガスは、本質的にH2および不活性ガス(例えば、He)からなり得るか、またはプロセスガスは、本質的にH2、不活性ガス、および炭化水素(例えば、CH4)からなり得る。エッチングは、基板の近くで測定されて約100℃未満の温度で実施される。エッチング反応は、有利には、SnH4などの揮発性材料のみを生成し、これは排気および/またはパージによってエッチングプロセスチャンバから容易に除去することができる。高温は形成されたSnH4の分解ならびにプロセスチャンバおよび基板を汚染し得る粒子の形成につながる可能性があるため、エッチングプロセス温度は、好ましくは約100℃未満に選択される。プロセスガスの組成およびプロセス条件は、例えばエッチング中の粒子の形成を低減または排除するように選択される。重要なことに、エッチング反応は、有意なスパッタリング成分を必要とせず、基板における外部バイアスの存在なしで、かつ重イオン(例えば、アルゴンイオン)の存在なしで実施することができる。スパッタリング成分を低減することは、基板上の第2の材料に対するエッチング選択性を増加させるのに有益であり得る。したがって、いくつかの実施形態では、エッチングは、スパッタリングを低減するために、基板に外部バイアスを提供することなく実施され、かつ/またはキャリアガスとしてヘリウム(軽質ガス)を使用することを伴う。
水素プラズマエッチング用のプラズマは、様々な周波数(低周波および高周波)を使用して生成することができる。適切な周波数の例には、400KHz、2MHz、13.56MHz、27MHz、または2.45GHzが挙げられる。プラズマ生成に使用される電力は、いくつかの実施形態では、約0.0018~0.36W/cm2の電力密度に対応する約50W~1,000Wの範囲であり得る。基板におけるバイアスは任意選択であり、バイアス電力は約0~500Wの範囲であり得る。(1つの300mmウエハを処理するための)シャワーヘッドごとの適切なガス流量は、以下の通りである:
2:25~750sccm、
Cl2:0~500sccm(例えば、5~200sccm)、
He:0~500sccm(例えば、5~100sccm)、および
CH4:0~500sccm(例えば、5~100sccm)。
エッチングプロセスは、いくつかの実施形態では、約1~175mTorrの圧力で実施することができる。
いくつかの特定の実施形態では、プラズマは、高周波の生成(例えば、13.56MHzまたは27MHz)を使用して生成され、0.07~0.18W/cm2の電力密度に対応する約200~500Wのプラズマ電力を使用して提供される。基板におけるバイアスの電力は、約0~200Wである。(1つの300mmウエハを処理するための)シャワーヘッドごとの適切なガス流量は、以下の通りである:
2:100~300sccm、
Cl2:0~200sccm(例えば、5~100sccm)、
He:0~100sccm(例えば、5~50sccm)、
CH4:0~100sccm(例えば、5~50sccm)。
エッチングプロセスは、これらの実施形態では、約1~30mTorrの圧力で実施される。
水素系エッチングの選択性は、エッチング中に基板の表面上に炭素含有ポリマー(例えば、CHxポリマー)を形成する炭素含有反応剤をプロセスガス中に使用することによって大幅に増加させることができることが発見された。いくつかの実施形態では、この実施形態で使用されるプロセスガスは、H2および炭化水素(例えば、メタン(CH4))を含む。プロセスガスは、典型的には、不活性ガスも含む。炭化水素に対するH2の比率は、いくつかの実施形態では、好ましくは少なくとも5、例えば少なくとも10である。いくつかの実施形態では、炭化水素に対するH2の体積比は、約5~500、例えば約10~300である。いくつかの実施形態では、別の材料(または複数の材料)の存在下での酸化スズの選択的エッチングは、基板をH2および炭化水素(例えば、CH4)を含むプロセスガスで形成されたプラズマに曝露することを含む。一実施態様では、H2は、約100~500sccmの流量で提供され、炭化水素は、約1~20sccm(例えば約5~10sccm)の流量で提供される。プロセスは、(単一の300mmウエハについて)約100~1000W、例えば約200~500Wのプラズマ電力を使用して実行することができ、これは約0.14~1.3W/cm2、例えば0.28~0.71W/cm2の電力密度に対応する。いくつかの実施態様では、エッチングは、約50~500Vb、例えば約100~200Vbの基板バイアスを使用して実行される。プロセスは、好ましくは、約100℃未満の温度で実施される。1つの特定の例では、以下のガスが提供される:100sccmのH2、5sccmのCH4、および100sccmのヘリウム。プラズマは、300Wの電力を使用してプロセスガスで形成され、25%のデューティサイクルで100Vbの基板バイアスが使用される。プロセスは、30℃で5mTorrの圧力において実施される。本明細書に記載の基板上での炭素含有ポリマーの形成は、本明細書に列挙された材料のいずれかに対する酸化スズのエッチング選択性を増加させることができる。この効果は、フォトレジスト、炭素、炭素含有材料、およびシリコン(Si)の存在下で酸化スズをエッチングする場合に特に有用である。例えば、エッチングがフォトレジストの存在下で実行されるとき、エッチング選択性は100を超える可能性があり、場合によってはほぼ無限である。この高度に選択的なエッチングの使用により、より薄い厚さのフォトレジストを使用してフォトリソグラフィの露光量を低下させ、かつ/または小さな微細ピッチの高アスペクト比によるフォトレジストラインの崩壊を防止することができる。記載の方法では、CHxポリマーがフォトレジストをエッチングから保護する。さらに、このエッチングを使用して、フォトレジスト層の幾何学的形状を改善することができる。いくつかの実施形態では、半導体基板上の酸化スズは、酸化スズ層の上に配置されたフォトレジストの存在下で、および酸化スズの下にある材料の存在下でこのエッチングを使用して選択的にエッチングされ、フォトレジストと酸化スズの下にある材料の両方に対して少なくとも10のエッチング選択性を有する。いくつかの実施形態では、酸化スズの下にある材料には、シリコン(例えば、非晶質シリコン)、シリコン含有化合物(例えば、SiO2、SiN、SiC、SiON、SiOC)、炭素(例えば、非晶質炭素)、および炭素含有化合物(例えば、炭素タングステン)の1つまたは複数が挙げられる。
いくつかの実施形態では、HBrは、水素系エッチングにおいて水素含有反応剤として使用される。一実施態様では、エッチング方法は、100~50sccmの流量でHBrを、100~500sccmの流量で不活性ガス(例えば、ヘリウム)を流すことと、0.14~0.71W/cm2の電力密度に対応する(1つの300mmウエハごとに)100~500WのRF電力を使用して、このプロセスガスでプラズマを形成することとを伴う。このエッチングは、基板バイアスの有無にかかわらず実行することができる。例えば、基板バイアスは、0~200Vb、例えば50~200Vbであり得る。プロセスは、100℃未満の温度で5~50mTorrの圧力において実施することができる。
塩素系エッチング
いくつかの実施形態では、選択的酸化スズエッチングは、塩素系エッチングを使用して実施される。塩素系エッチングは、酸化スズを塩素含有反応剤を曝露し(典型的には反応剤のプラズマ活性化を伴う)、酸化スズを塩化スズに変換することを伴う。SnCl4は、114℃の沸点を有し、プロセスチャンバから除去することができる。適切な塩素含有反応剤の例には、Cl2およびBCl3が挙げられる。一実施形態では、Cl2とBCl3の混合物が使用される。塩素系エッチングは、一実施態様では、塩素含有反応剤、および任意選択で不活性ガスを含むプロセスガスでプラズマを形成することと、基板を形成されたプラズマと接触させることとを伴う。塩素系エッチングは、以下の材料:SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、スピンオングラスなどのシリコン含有化合物、炭素、フォトレジストの存在下で酸化スズを選択的に除去することができるが、シリコン含有材料についての選択性は、典型的には、水素系エッチングよりも低い。いくつかの実施形態では、半導体基板が提供され、半導体基板は、露出した酸化スズ層と、これらの材料のいずれかの層とを含む。次に、酸化スズが、塩素系エッチングを使用して、これらの材料の存在下で選択的にエッチングされる。これらの材料は、このエッチングの前に露出されてもよいし、または酸化スズエッチングの過程で露出されてもよい。一実施態様では、酸化スズは、BCl3/Cl2エッチングを使用して、これらの材料のいずれかの存在下で選択的にエッチングされる。一実施態様では、エッチング方法は、5~100sccmの流量でBCl3を、50~500sccmの流量でCl2を、100~500sccmの流量で不活性ガス(例えば、ヘリウム)を流すことと、0.14~0.71W/cm2の電力密度に対応する(1つの300mmウエハごとに)100~500WのRF電力を使用して、このプロセスガスでプラズマを形成することとを伴う。このエッチングは、基板バイアスの有無にかかわらず実行することができる。例えば、基板バイアスは、0~100Vb、例えば10~100Vbであり得る。プロセスは、100℃未満の温度で5~50mTorrの圧力において実施することができる。
いくつかの実施形態では、塩素系エッチングを使用して、酸化スズの存在下で特定の金属酸化物を選択的にエッチングする。例えば、酸化チタンは、塩素系エッチングを使用して、酸化スズの存在下で選択的にエッチングすることができる。いくつかの実施形態では、半導体基板が提供され、半導体基板は、露出した酸化チタン層と、酸化スズの層とを含む。次に、酸化チタンは、塩素系エッチング化学物質を使用して、酸化スズの存在下で選択的にエッチングされる。酸化スズは、このエッチングの前に露出されてもよいし、または酸化スズエッチングの過程で露出されてもよい。
フッ化炭素系エッチング
いくつかの実施形態では、SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、スピンオングラスなどのシリコン含有化合物は、フッ化炭素系エッチングを使用して、酸化スズの存在下で選択的にエッチングされる。フッ化炭素系エッチングは、シリコン含有化合物をプラズマ活性化フッ化炭素(Cxy)に曝露し、Si-F結合を含む揮発性化合物に変換することを伴う。適切なフッ化炭素反応剤の例には、CF4、C26などが挙げられる。フッ化炭素系エッチングは、一実施態様では、フッ化炭素、および任意選択で不活性ガスを含むプロセスガスでプラズマを形成することと、基板を形成されたプラズマと接触させることとを伴う。フッ化炭素エッチングは、酸化スズの存在下でシリコン含有化合物を選択的に除去することができる。いくつかの実施形態では、半導体基板が提供され、半導体基板は、シリコン含有化合物の露出した層と、酸化スズの層とを含む。次に、基板はフッ化炭素プラズマと接触し、シリコン含有化合物は酸化スズの存在下で選択的にエッチングされる。酸化スズは、このエッチングの前に露出されてもよいし、またはエッチングの過程で露出されてもよい。フッ化炭素系エッチングは、フッ素系エッチングの一種である。
フッ素系エッチング
いくつかの実施形態では、元素シリコンおよびシリコン含有化合物(例えば、SiO2、SiN、SiC、SiOC、SiCN、SiON、SiCNO、およびスピンオングラス)は、フッ素系エッチングを使用して、酸化スズの存在下で選択的にエッチングされる。フッ素系エッチングは、シリコン含有材料をいくつかの実施形態ではプラズマ活性化されるフッ素含有試薬(例えば、NF3、SF6、またはフッ化炭素)に曝露することと、シリコン含有材料を揮発性フッ化シリコンに変換することとを含む。しかし、酸化スズは揮発性フッ化物を形成しないため、この化学物質によって実質的にエッチングされない。シリコン含有材料に加えて、酸化チタン、タングステン、およびタングステン炭素は、フッ素系エッチングを使用して、酸化スズの存在下で選択的にエッチングすることができる。フッ素系エッチングは、一実施態様では、フッ素含有反応剤(例えば、NF3)、および任意選択で不活性ガスを含むプロセスガスでプラズマを形成することと、基板を形成されたプラズマと接触させることとを伴う。フッ素系エッチングは、酸化スズの存在下でシリコン含有化合物および元素シリコンを選択的に除去することができる。いくつかの実施形態では、半導体基板が提供され、半導体基板は、シリコン含有化合物の露出した層および/または元素シリコン(Si)の層と、酸化スズの層とを含む。次に、基板は、プラズマ中のフッ素含有反応剤と接触し、シリコン含有化合物および/またはSiは酸化スズの存在下で選択的にエッチングされる。酸化スズは、このエッチングの前に露出されてもよいし、またはエッチングの過程で露出されてもよい。
一実施態様では、シリコン(Si)は、フッ素系エッチングを使用して、酸化スズの存在下で選択的にエッチングされる。一実施態様では、このエッチング方法は、5~100sccmの流量でNF3を、50~500sccmの流量でCl2を、100~500sccmの流量で不活性ガス(例えば、窒素および/またはヘリウム)を流すことと、0.14~1.4W/cm2の電力密度に対応する(1つの300mmウエハごとに)100~1000WのRF電力を使用して、このプロセスガスでプラズマを形成することとを伴う。このエッチングは、基板バイアスの有無にかかわらず実行することができる。例えば、基板バイアスは、0~100Vb、例えば10~100Vbであり得る。プロセスは、100℃未満の温度で10~300mTorrの圧力において実施することができる
いくつかの実施形態では、半導体基板が提供され、半導体基板は、酸化チタン、タングステン、および/またはタングステン炭素の露出した層と、酸化スズの層とを含む。次に、基板はプラズマ中のフッ素含有反応剤と接触し、酸化チタン、タングステン、および/またはタングステン炭素は酸化スズの存在下で選択的にエッチングされる。酸化スズは、このエッチングの前に露出されてもよいし、エッチングの過程で露出されてもよい。
一実施態様では、酸化チタンは、フッ素系エッチングを使用して、酸化スズの存在下で選択的にエッチングされる。一実施態様では、このエッチング方法は、5~500sccmの流量でCF4を、0~500sccm(例えば、10~500sccm)の流量でCHF3を、100~500sccmの流量で不活性ガス(例えば、アルゴン)を流すことと、0.71~1.4W/cm2の電力密度に対応する(1つの300mmウエハごとに)500~1000WのRF電力を使用して、このプロセスガスでプラズマを形成することとを伴う。このエッチングは、基板バイアスの有無にかかわらず実行することができる。例えば、基板バイアスは、0~300Vb、例えば10~300Vbであり得る。プロセスは、100℃未満の温度で5~50mTorrの圧力において実施することができる。
一実施態様では、タングステン炭素は、フッ素系エッチングを使用して、酸化スズの存在下で選択的にエッチングされる。一実施態様では、このエッチング方法は、5~100sccmの流量でNF3を、5~500sccmの流量でCl2を、100~500sccmの流量で不活性ガス(例えば、アルゴンおよび/または窒素)を流すことと、0.14~1.4W/cm2の電力密度に対応する(1つの300mmウエハごとに)100~1000WのRF電力を使用して、このプロセスガスでプラズマを形成することとを伴う。このエッチングは、基板バイアスの有無にかかわらず実行することができる。例えば、基板バイアスは、0~100Vb、例えば10~100Vbであり得る。プロセスは、100℃未満の温度で10~100mTorrの圧力において実施することができる。
酸素系エッチング
いくつかの実施形態では、元素炭素、炭素含有化合物、ポリマー、およびフォトレジストからなる群から選択される1つまたは複数の材料は、酸素系エッチングを使用して、酸化スズの存在下で選択的にエッチングされる。酸素系エッチングは、上記の材料をいくつかの実施形態ではプラズマ活性化される酸素含有試薬(例えば、O2、O3、SO2、またはCO2)に曝露することと、材料を炭素-酸素結合を含む揮発性生成物(例えば、COまたはCO2)に変換することとを含む。酸素系エッチングは、一実施態様では、酸素含有反応剤(例えば、O2)、および任意選択で不活性ガスを含むプロセスガスでプラズマを形成することと、基板を形成されたプラズマと接触させることとを伴う。他の実施形態では、エッチングは、プラズマの存在なしで生じてもよい。酸素系エッチングは、酸化スズの存在下で炭素(例えば、非晶質炭素またはダイヤモンド状炭素)、炭素含有化合物、およびフォトレジストを選択的に除去することができる。いくつかの実施形態では、半導体基板が提供され、半導体基板は、炭素、炭素含有化合物、およびフォトレジストからなる群から選択される1つまたは複数の材料の露出した層と、酸化スズの層とを含む。次に、基板は、酸素含有反応剤(任意選択でプラズマで活性化)と接触して炭素含有材料を揮発性COまたはCO2に変換し、それによって酸化スズの存在下でそれらを選択的にエッチングする。酸化スズは、このエッチングの前に露出されてもよいし、またはエッチングの過程で露出されてもよい。
材料の堆積
本明細書で参照される材料は、様々な堆積方法(例えば、CVD(PECVDを含む)、ALD(PEALDを含む)、PVD(例えば、金属および金属酸化物の堆積用)、スピンオン法(例えば、炭素、および一部の誘電体の堆積用))を使用して堆積することができる。共形堆積が必要な場合、典型的には、ALD法が好まれる。
SiO2、SiC、SiN、SiOC、SiNO、SiCNO、およびSiCN材料は、CVD、PECVD、およびALDなどの様々な方法を使用して堆積させることができる。堆積は、シリコン含有前駆体と反応剤(例えば、酸素含有反応剤、窒素含有反応剤、または炭素含有反応剤)との間の反応を含み得る。様々なシリコン含有前駆体は、シラン、テトラアルキルシラン、トリアルキルシラン、テトラエチルオルトシリケート(TEOS)などを含むこれらの材料の堆積に使用することが可能である。例えば、SiO2は、シリコン含有前駆体としてTEOSまたはシランを使用して堆積されてもよい。
炭素は、例えば、炭化水素前駆体(例えば、CH4)を使用することによって、CVDまたはPECVD法によって堆積させることができる。他の実施態様では、炭素は、スピンオン法またはPVDによって堆積され得る。フォトレジストおよび有機ポリマーは、例えば、スピンオン法によって堆積させることができる。
酸化スズ層は、CVD(PECVDを含む)、ALD(PEALDを含む)、スパッタリングなどの任意の適切な方法によって堆積される。いくつかの実施形態では、基板上のあらゆる凸凹フィーチャの表面を含む基板の表面に沿うように、共形的にSnO2膜を堆積することが好ましい。共形SnO2膜の適切な堆積方法の1つは、ALDである。熱またはプラズマ強化ALDを使用することができる。典型的な熱ALD法では、基板はALDプロセスチャンバに提供され、スズ含有前駆体および酸素含有反応剤に順次曝露され、スズ含有前駆体および酸素含有反応剤は、基板の表面上で反応してSnO2を形成することが可能である。ALDプロセスチャンバは、典型的には、プロセスチャンバのバルク内での反応を防止するために、基板がスズ含有前駆体に曝露された後、かつ酸素含有反応剤がプロセスチャンバに入れられる前に、不活性ガスでパージされる。さらに、ALDプロセスチャンバは、典型的には、基板が酸素含有反応剤で処理された後、不活性ガスでパージされる。連続曝露は数サイクル繰り返され、例えば、約10~100サイクル、所望の厚さを有する酸化スズ層が堆積されるまで実施される。適切なスズ含有前駆体の例には、ハロゲン化スズ含有前駆体(SnCl4、およびSnBr4など)、およびアルキル置換スズアミドなどを含む有機スズ化合物などの非ハロゲン化スズ含有前駆体が挙げられる。ALDに適したアルキル置換スズアミドの特定の例は、テトラキス(ジメチルアミノ)スズ、テトラキス(エチルメチルアミノ)スズ、N2、N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)、および(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R、5R)-1,3,2-ジアザスタンノリジン-2-イリデンである。
例示的なスズ含有前駆体は、テトラエチルスズ(SnEt4)、テトラメチルスズ(SnMe4)、テトラキス(ジメチルアミノ)スズ(Sn(NMe24)、テトラキス(ジエチルアミド)スズ(Sn(NEt24)、テトラキス(エチルメチルアミノ)スズ(Sn(NMeEt)4)、(ジメチルアミノ)トリメチルスズ(IV)(Me3Sn(NMe2))、ジブチルスズジアセテート(Bu2Sn(OAc)2)、Sn(II)(1,3-ビス(1,1-ジメチルエチル)-4,5-ジメチル-(4R,5R)-1,3,2-ジアザスタンノリジン-2-イリデン)、N2、N3-ジ-tert-ブチル-ブタン-2,3-ジアミノ-スズ(II)などの有機スズ前駆体であるか、またはそれらを含むことができる。有機スズ前駆体のさらなる例には、以下が挙げられる:ビス[ビス(トリメチルシリル)アミノ]スズ(II)
Figure 2022539991000002
式中、TMSは、トリメチルシリルであり、
ジブチルジフェニルスズ
Figure 2022539991000003
ヘキサフェニルジスズ(IV)
Figure 2022539991000004
テトラアリルスズ
Figure 2022539991000005
テトラビニルスズ
Figure 2022539991000006
スズ(II)アセチルアセトナート
Figure 2022539991000007
トリシクロヘキシルスズヒドリド
Figure 2022539991000008
トリメチル(フェニルエチニル)スズ
Figure 2022539991000009
、および
トリメチルフェニルスズ
Figure 2022539991000010
別の例では、スズ含有前駆体はまた、ハロゲン化スズ(例えば、SnF2、SnCl4、SnBr4)、水素化スズ(例えば、SnH4)などの無機スズ前駆体であり得る。いくつかの実施形態では、以下のような塩素化有機スズ前駆体が使用される:トリメチルスズクロリド
Figure 2022539991000011
ジメチルスズジクロリド
Figure 2022539991000012
、および
メチルスズトリクロリド
Figure 2022539991000013
いくつかの実施形態では、スズ含有前駆体は、テトラメチルスズ、テトラキス(ジメチルアミノ)スズ、または(ジメチルアミノ)トリメチルスズ(IV)である。
酸素含有反応剤は、限定はしないが、酸素、オゾン、水、過酸化水素、およびNOを含む。 酸素含有反応剤の混合物もまた、使用することができる。堆積条件は、ALD反応剤の選択によって異なり、反応性の高い前駆体は、一般に、反応性の低い前駆体よりも低温で反応する。プロセスは、典型的には、約20~500℃の温度で大気圧未満の圧力において行われる。温度および圧力は、凝縮を回避するために反応剤がプロセスチャンバ内でガス状形態のままであるように選択される。各反応剤は、単独で、またはアルゴン、ヘリウム、もしくは窒素などのキャリアガスと混合されたガス状形態でプロセスチャンバに提供される。これらの混合物の流量は、プロセスチャンバのサイズに依存し、いくつかの実施形態では、約10~10,000sccmである。
一例では、ALDプロセスは、ALD真空チャンバ内の基板を200~400℃の温度でSnCl4(スズ含有前駆体)および脱イオン水(酸素含有反応剤)に連続的かつ交互に曝露することを含む。ALDサイクルの特定の例では、SnCl4蒸気とN2キャリアガスの混合物が0.5秒間ALDプロセスチャンバに導入され、次に3秒間基板に曝露される。次に、ALDプロセスチャンバが10秒間N2でパージされてプロセスチャンバのバルクからSnCl4を除去し、H2O蒸気とN2キャリアガスの混合物が1秒間プロセスチャンバに流入されて3秒間基板に曝露される。次に、ALDプロセスチャンバはN2でパージされ、このサイクルが繰り返される。ALDプロセスは、大気圧未満の圧力(例えば、0.4Torr)でおよび200~400℃の温度において実施される。
ALDにおけるハロゲン化スズ前駆体の使用は多くの実施形態で適切であるが、いくつかの実施形態では、SnCl4などのハロゲン化前駆体の使用で起こり得る腐食問題を回避するために非ハロゲン化有機スズ前駆体を使用することがより好ましい。適切な非ハロゲン化有機スズ前駆体の例には、テトラキス(ジメチルアミノ)スズなどのアルキルアミノスズ(アルキル化スズアミド)前駆体が挙げられる。ALDプロセスの一例では、基板は、ALDチャンバ内で、約50~300℃の温度でテトラキス(ジメチルアミノ)スズおよびH22に順次曝露される。有利なことに、この前駆体の使用は、100℃以下の低温でのSnO2膜の堆積を可能にする。例えば、SnO2膜は、プラズマを使用せずに50℃で堆積されて反応速度を高めることができる。
いくつかの実施形態では、SnO2膜は、PEALDによって堆積される。熱ALDについて上述したのと同じタイプのスズ含有前駆体および酸素含有反応剤を使用することができる。PEALDでは、ALD装置には、プロセスチャンバ内でプラズマを生成し、基板をプラズマで処理するためのシステムが装備される。典型的なPEALDプロセスシーケンスでは、基板はPEALDプロセスチャンバに提供され、基板の表面に吸着するスズ含有前駆体に曝露される。プロセスチャンバは、不活性ガス(例えば、アルゴンまたはヘリウム)でパージされてプロセスチャンバから前駆体を除去し、基板は、プロセスチャンバに導入される酸素含有反応剤に曝露される。酸素含有反応剤の導入と同時に、またはその後に、プラズマがプロセスチャンバ内で形成される。プラズマは、基板表面上のスズ含有前駆体と酸素含有反応剤との間の反応を促進し、その結果、酸化スズが形成される。次に、プロセスチャンバが不活性ガスでパージされ、スズ前駆体の投与、パージ、酸素含有反応剤の投与、プラズマ処理、および第2のパージを含むサイクルが必要な回数繰り返され、所望の厚さのスズ酸化膜を形成する。
スペーサとしての酸化スズ
いくつかの実施形態では、酸化スズ層がスペーサとして使用される。酸化スズスペーサの使用は、処理の異なる段階における半導体基板の概略断面図を提供する図1A~図1Fを参照して表される。図2は、これらの方法の一実施形態のプロセスフロー図を提供する。
図2を参照すると、プロセスは、201において、複数の突起フィーチャを有する基板を提供することによって開始する。例示的な基板が図1Aに示され、これは、エッチング停止層(ESL)103上に存在する2つのマンドレル101を示す。近接するマンドレル間の距離d1は、いくつかの実施形態では、約10~100nmである。いくつかの実施形態では、約40~100nmの比較的長い距離が使用される。他の用途では、最も近いマンドレル間の距離は、約10~30nmである。最も近いマンドレルの中心間の距離d2は、ピッチとも呼ばれ、いくつかの実施形態では、約30~130nmである。いくつかの実施形態では、ピッチは、約80~130nmである。他の実施形態では、ピッチは、約30~40nmである。マンドレルの高さd3は、典型的には、約20~200nm、例えば約50~100nmである。
マンドレルおよびESLの材料は、露出した酸化スズの存在下でのマンドレル材料の選択的エッチング、および露出した酸化スズの存在下でのESL材料の選択的エッチングを連続して可能にするように選択される。したがって、ESL材料のエッチング速度と酸化スズのエッチング速度の比率は、第1のエッチング化学物質では1よりも大きく、より好ましくは約1.5よりも大きく、例えば約2よりも大きい。同様に、マンドレル材料のエッチング速度と酸化スズのエッチング速度の比率は、第2のエッチング化学物質では1よりも大きく、より好ましくは約1.5よりも大きく、例えば約2よりも大きい。
いくつかの実施形態では、ESL材料は、シリコン含有化合物(例えば、SiO2)、または金属酸化物(例えば、酸化チタン、酸化ジルコニウム、酸化タングステン)である。マンドレル材料は、シリコン含有化合物(例えば、SiO2、SiN、またはSiC)、炭素含有化合物(例えば、非晶質炭素、ダイヤモンド状炭素、またはフォトレジスト)、非晶質シリコン(ドープまたは非ドープ)、および金属酸化物(TaO、TiO、WO、ZrO、HfO)を含んでもよい。いくつかの実施形態では、マンドレルの外側材料は、マンドレルコアとは異なる場合がある。例えば、いくつかの実施形態では、マンドレルは、酸化シリコンで(例えば、自発的に形成された熱酸化物の層で)覆われている非晶質シリコンで作製される。ESL層およびマンドレルは、物理気相堆積(PVD)、化学気相堆積(CVD)、ALD(プラズマなしまたはPEALDによって)、またはプラズマ強化化学気相堆積(PECVD)の1つまたは複数によって形成することができ、マンドレルのパターンは、フォトリソグラフィ技術を使用して画定することができる。適切なESL/マンドレルの組み合わせの例には、(i)酸化シリコンESLおよび酸化シリコンで覆われたシリコンマンドレル、(ii)酸化シリコンESLおよび炭素含有マンドレル、(iii)酸化シリコンESLおよび金属酸化物マンドレル、(iv)金属酸化物ESLおよび酸化シリコンで覆われたシリコンマンドレル(v)金属酸化物ESLおよび炭素含有マンドレルが挙げられる。
再び図1Aに示す基板を参照すると、ESL層103は、ターゲット層105と接触してその上に存在する。ターゲット層105は、パターニングする必要のある層である。ターゲット層105は、半導体、誘電体、または他の層であり得、例えば、シリコン(Si)、酸化シリコン(SiO2)、窒化シリコン(SiN)、または窒化チタン(TiN)で作製され得る。いくつかの実施形態では、ターゲット層はハードマスク層と呼ばれ、窒化チタンなどの金属窒化物を含む。ターゲット層105は、ALD(プラズマなしまたはPEALDによって)、CVD、または他の適切な堆積技術によって堆積され得る。
ターゲット層105は、誘電体材料の層に埋め込まれた複数の金属線を含む、いくつかの実施形態ではBEOL層である層107と接触してその上に存在する。
再び図2を参照すると、プロセスは、203において、突起フィーチャの水平面と側壁の両方の上に酸化スズ層を堆積することが続く。図1Bに示す構造を参照すると、酸化スズ層109は、ESL103の上、およびマンドレルの側壁を含むマンドレル101の上に堆積される。酸化スズ層は、CVD(PECVDを含む)、ALD(PEALDを含む)、スパッタリングなどの任意の適切な方法によって堆積される。いくつかの実施形態では、図1Bに示すように、層103の表面およびマンドレル101に沿うように、共形的に酸化スズ膜を堆積することが好ましい。いくつかの実施形態では、酸化スズ層は、約5~30nm、例えば約10~20nmの厚さに共形的に堆積される。共形酸化スズ膜の適切な堆積方法の1つは、ALDである。熱またはプラズマ強化ALDを使用することができる。
図2のプロセス図を参照すると、酸化スズ層が堆積された後、プロセスは、205において、水素系エッチングまたは塩素系エッチングを使用して、突起フィーチャの側壁から酸化スズ層を完全に除去することなく、水平面から酸化スズを完全に除去することが続く。マンドレルが外側層としてシリコン含有化合物または金属酸化物を有する場合、水素系エッチングを使用することができる。マンドレルの外側層が炭素層である場合、塩素系エッチングを使用することができる。このステップで利用されるエッチング化学物質は、好ましくは、ESL材料とマンドレルの外側層の材料の両方に対して選択的であるべきであり、すなわち、このエッチング化学物質についての酸化スズのエッチング速度は、外側マンドレル材料のエッチング速度よりも大きく、かつESL材料のエッチング速度よりも大きくなければならない。水平面からの酸化スズの除去が、図1Cによって示される。酸化スズ層109は、マンドレル101の側壁に付着する位置から完全にエッチングされることなく、ESL103およびマンドレル101の上で水平面からエッチングされる。このエッチングは、マンドレル101の側壁の近くの場所を除いて、あらゆる場所で層103を露出させる。さらに、このエッチングにより、マンドレルの上部が露出する。結果として得られる構造を、図1Cに示す。好ましくは、このエッチング後、側壁における酸化スズ層の初期高さの少なくとも50%、例えば少なくとも80%または少なくとも90%が維持される。一例では、酸化スズは、マンドレルの外側材料(SiO2)が露出されるように、水素系エッチング(例えば、H2プラズマエッチング)によって酸化シリコンで覆われたマンドレルから選択的にエッチングされる。水素系エッチングは、SiO2に対して選択的である。別の例では、酸化スズは、マンドレルの炭素含有材料が露出されるように、塩素系エッチング(例えば、BCl3/Cl2プラズマエッチング)によって炭素含有(例えば、炭素)マンドレルから選択的にエッチングされる。このようなエッチングは、炭素含有材料に対して選択的である。別の例では、酸化スズは、マンドレル材料(金属酸化物)が露出されるように、水素系エッチング(例えば、H2プラズマエッチング)によって金属酸化物(例えば、チタン酸化物)マンドレルから選択的にエッチングされる。このエッチングは、酸化チタンなどの揮発性水素化物を形成しない金属の酸化物に対して選択的である。
いくつかの実施形態では、基板の水平部分からの酸化スズ層の除去は、2つの異なる化学物質による2つのステップを使用することを伴う。主エッチングと呼ばれる最初のステップでは、酸化スズ層のバルクが、典型的にはマンドレルおよびESL材料の下にある層を完全に露出させることなく、水平面から除去される。したがって、いくつかの実施形態では、主エッチングのエッチング化学物質は、選択的である必要はない。いくつかの実施形態では、主エッチングは、基板を塩素系化学物質で処理することによって実施される(例えば、BCl3/Cl2プラズマエッチング)。主エッチングがSnO膜を通してエッチングされた後、またはその直前に、エッチング化学物質がオーバーエッチング化学物質に切り替えられる。主エッチングについての終点は、マンドレル材料またはESL材料が露出したときに信号を送る光学プローブを使用することによって検出され得る。選択的オーバーエッチング化学物質は、マンドレルおよびESLの材料を実質的にエッチングすることなく残った酸化スズ膜を除去するために使用され、これは上述されている。例えば、選択的水素系エッチングまたは選択的塩素系エッチングが使用されてもよい。
次に、図1Dに示すように、マンドレル101が基板から除去され、露出した酸化スズスペーサ101および露出した層ESL103が残る。マンドレルの除去は、マンドレル材料を選択的にエッチングするエッチング化学物質に基板を曝露することによって実施される。したがって、このステップにおけるマンドレル材料のエッチング速度と酸化スズのエッチング速度の比率は、1よりも大きく、より好ましくは1.5よりも大きい。さらに、このステップで使用されるエッチング化学物質は、いくつかの実施形態では、ESL材料に対してマンドレル材料を選択的にエッチングする必要がある。様々なエッチング方法を使用することができ、化学物質の特定の選択は、マンドレルの材料およびESL層の材料によって異なる。マンドレルが酸化シリコンで覆われた非晶質シリコンで作製されるとき、フッ素系化学物質(例えば、NF3)を使用して、それらを覆うSiO2層と共にシリコンマンドレル101を除去することができる。この化学物質は、酸化スズに対して選択的である。
シリコンマンドレル除去に対するもう1つのオプションは、HBrとO2の混合物で形成されたプラズマを使用することである。いくつかの実施形態では、エッチングが開始する前に、酸化シリコンの薄い保護層がシリコンマンドレルの表面から除去される。これは、基板をフッ化炭素を含むプロセスガスで形成されたプラズマに短時間曝露することによって行うことができる。マンドレルから保護酸化シリコン層を除去した後、シリコンは、選択的にエッチングされる。いくつかの実施形態では、このステップでは、基板に対して比較的小さいRFバイアスを使用するか、または外部バイアスをまったく使用しないことが好ましい。外部バイアスを使用しない場合、基板の自己バイアス(10~20V)で十分である。バイアスなしまたは低バイアス条件下では、HBr/O2プラズマは、酸化スズおよび酸化シリコンの存在下でシリコンを選択的にエッチングする。このエッチングは、シリコン含有化合物を含むESLの存在下で実施することができる。
マンドレルが炭素含有材料(例えば、炭素またはフォトレジスト)であるとき、マンドレルは、酸素系エッチングを使用して選択的に除去することができる。この化学物質は酸化スズに対して選択的であり、シリコン含有化合物で構成されるESLおよび金属酸化物ESLの存在下で使用することができる。
マンドレルが金属酸化物(例えば、酸化チタン、酸化タングステン、酸化ジルコニウム、酸化ハフニウム、酸化タンタル)であるとき、基板を塩素系エッチング化学物質(例えば、プラズマ中のBCl3/Cl2)で処理して酸化スズに対してマンドレルを選択的に除去することができる。この化学物質は、シリコン含有化合物(例えば、SiO2、SiN、SiC)を含むESLの存在下で使用することができる。
次に、露出したESL膜103がエッチングされ、酸化スズスペーサ109によって保護されていないすべての位置で下にあるターゲット層105が露出される。結果として得られる構造を、図1Eに示す。このステップで使用されるエッチング化学物質は、酸化スズの存在下でESL材料を選択的にエッチングする。換言すれば、ESL材料のエッチング速度と酸化スズのエッチング速度の比率は、1より大きく、より好ましくは1.5よりも大きい。このステップで使用される化学物質の特定のタイプは、ESL材料のタイプによって異なる。シリコン含有化合物(例えば、酸化シリコンおよび酸化シリコン系材料)が使用されるとき、選択的エッチングは、基板をフッ化炭素を含むプロセスガスで形成されたプラズマに曝露することによって達成することができる。例えば、ESL膜は、CF4、C26、およびC38の1つまたは複数を含むプロセスガスで形成されたプラズマによってエッチングすることができる。ESLが金属酸化物層(例えば、酸化チタン、酸化タングステン、または酸化ジルコニウム)であるとき、塩素系エッチング化学物質(例えば、プラズマ中のBCl3/Cl2)を使用して、酸化スズの存在下で選択的にエッチングすることができる。
次のステップでは、ターゲット層105がESL膜103によって保護されていないすべての位置でエッチングされ、下にある層107が露出される。酸化スズスペーサ109もまた、このエッチングステップで除去され、図1Fに示すパターニングされた構造を提供する。いくつかの実施形態では、このステップで使用されるエッチング化学物質は、ターゲット材料と酸化スズスペーサ材料の両方を除去するように選択される。他の実施形態では、異なる化学物質によって2つの異なるエッチングステップを使用してそれぞれターゲット層105をパターニングし、酸化スズスペーサ109を除去することができる。ターゲット層の化学物質に応じて、いくつかのエッチング化学物質を使用することができる。一実施形態では、ターゲット層105は、金属窒化物層(例えば、TiN)層である。この実施形態では、金属窒化物層をエッチングすることができ、酸化スズスペーサは、基板をCl2および炭化水素(例えば、CH4)を含むプロセスガスで形成されたプラズマに曝露することによって、単一のエッチング化学物質を使用して除去することができる。一般に、酸化スズスペーサは、上述の酸化スズエッチング方法のいずれかを使用して除去することができる。
スペーサの形成中に遭遇する問題の1つは、スペーサのフッティングであり、これは下部のスペーサの幅と上部のスペーサの幅との間の差である。理想的には、スペーサは真っ直ぐであり、上部と下部の両方で実質的に同じ幅を有する必要がある。酸化スズスペーサは、実験的に酸化チタンスペーサと比較されている。酸化スズスペーサに対してH2プラズマエッチングを使用すると、フッティングを1nm未満に大幅に低減することができることが示された。しかし、酸化チタンは、H2プラズマではまったくエッチングすることができない。酸化チタンスペーサをHBr/N2/アルゴンプラズマでエッチングしたとき、スペーサの高さおよびCDの損失が大きくなるという犠牲を払って、そのフッティングは2.4nmにしか減少しなかった。
さらに、熱酸化シリコン(TOX)に対するALD堆積酸化スズのエッチング選択性は、HBr/N2/アルゴンプラズマエッチングのためのTOXに対するALD堆積酸化スズのエッチング選択性よりも低いことが実証された。具体的には、この化学物質により、酸化チタンは約10:1の選択性でTOXの存在下でエッチングされたのに対し、酸化スズは100:1を超える選択性でエッチングされた。H2プラズマでは、酸化チタンはまったくエッチングされなかったが、酸化スズは100:1を超える選択性でTOXの存在下でエッチングされた。BCl3/Cl2/Heを使用すると、プラズマ酸化チタンは約5:1の選択性でエッチングされ(酸化チタンからTOX)、酸化スズは約4:1の選択性でエッチングされた(酸化スズからTOX)。水素系エッチング化学物質(H2系とHBr系の両方)は、酸化チタンでは達成することができない50:1を超えるおよび80:1を超える酸化シリコンに対する非常に高いエッチング選択性を提供する。
いくつかの実施形態では、図1A~図1Fおよび図2を参照して本明細書に記載される方法は修正され、マンドレルの側壁で酸化スズ層の上に不動態化層を使用することを伴う。不動態化層の目的は、水平面から酸化スズを除去するステップ中、側壁での酸化スズのエッチングを最小限に抑えることである。不動態化層が存在しない場合、側壁における酸化スズが横方向に一貫してエッチングされない可能性があり、これによりスペーサ限界寸法(CD)が変動することがある。不動態化層を使用すると、この横方向のエッチングを防止または最小限に抑えることができ、スペーサ間の距離をより一定にすることが可能である。加えて、不動態化層を使用すると、スペーサの上部コーナの侵食を防止することができ、それによってより長方形の形状のスペーサが得られる。さらに、側壁で不動態化層を使用すると、基板におけるバイアスによって下向きに駆動されるより多くの利用可能なエッチング種(例えば、プラズマ中のイオン)が存在するため、下部の傾斜または酸化スズ層のフッティングのより容易なエッチングが可能になる。不動態化層は、スペーサCDを維持することができるように、最終的には後続のエッチングステップで部分的または完全に消費される場合がある。
不動態化層の材料は、水平面からの酸化スズの除去に使用されている特定のエッチング化学物質に対してより耐性があるように選択される。いくつかの実施形態では、不動態化材料は、例えばPECVDによって堆積させることができる、SiO2、SiN、またはSiCなどのシリコン含有化合物である。他の実施形態では、不動態化材料は、本明細書に記載されるように、炭素(例えば、炭化水素前駆体を使用して堆積される)である。他の実施形態では、不動態化材料は、窒化スズ(SnN)、臭化スズ(SnBr)、またはフッ化スズ(SnF)などのスズ含有化合物である。いくつかの実施形態では、これらの化合物は、酸化スズの外側部分をスズ含有不動態化材料に変換することによって形成される。例えば、酸化スズは、基板をプラズマ(例えば、N2プラズマ)中の窒素含有化合物に曝露することによって窒化スズに変換され得る。臭化スズは、基板を臭素含有化合物(例えば、HBr)に曝露することによって形成することができる。フッ化スズは、基板をプラズマ(例えば、NF3プラズマまたはフッ化炭素プラズマ)中のフッ素含有化合物に曝露することによって形成することができる。SnBrおよびSnFの堆積中の条件は、エッチングを最小限に抑えるように調整される。例えば、反応は、SnBrおよびSnFの除去を最小限に抑えるように、基板にバイアスをかけることなく、または低バイアスで行うことができる。不動態化層は、典型的には、約1~5nmの厚さに形成される。
図3A~図3Eは、不動態化層を使用した処理中の基板の一部の概略断面図を提供する。図4は、このようなプロセスのプロセスフロー図を提供する。図4を参照すると、プロセスは、4401において、複数の突起フィーチャを有する基板を提供することによって開始し、4403において、図1Aおよび図1Bを参照して説明したのと同じ方法で、突起フィーチャの側壁および水平面上に酸化スズを堆積することに進む。図1Bに示す基板はまた、図3Aにも示されており、ターゲット層は層301であり、ESLは303であり、マンドレルは305であり、酸化スズ層は307である。層の材料は、一般に、図1A~図1Fを参照して説明した実施形態と同じであり得る。図3Aに示される実施形態では、マンドレル305は、酸化シリコン(SiO2)302の外側層を有するシリコン(Si)マンドレルであるが、記載されたプロセスシーケンスは、炭素含有マンドレルおよび金属酸化物マンドレルを含む様々なマンドレル材料で使用することができることが理解される。図4を参照すると、酸化スズ層が堆積された後、プロセスは、4405において、突起フィーチャの側壁で酸化スズ層の上に不動態化層を形成することが続く。結果として得られる構造を図3Bに示し、これは、突起フィーチャの側壁上の不動態化層309を示す。図示の実施形態では、不動態化層は、水平面上に存在しない。
このような不動態化層は、いくつかの実施形態では、最初に(a)マンドレルの側壁と水平面の両方の上に不動態化材料(例えば、酸化シリコン、窒化シリコン、炭化シリコン、または炭素)を共形的に堆積し、次に(b)側壁から不動態化層を完全に除去することなく、水平面から不動態化層を完全に除去する(例えば、側壁における不動態化層材料の少なくとも50、または少なくとも80%がエッチング後に残るように)ことによって形成することができる。
不動態化材料がシリコン含有化合物であるとき、フッ化炭素系エッチングを使用して水平面から酸化スズに選択的にエッチングすることができる。不動態化材料が炭素含有材料であるとき、酸化スズに選択的に酸素系エッチングを使用するか、または水素含有ガス(例えば、H2)で形成されたプラズマへの短時間の曝露を使用して、水平面から除去することができる。スズ含有不動態化材料は、いくつかの実施形態では、水平面からの材料の除去を容易にする基板での十分なバイアスを使用して、酸化スズエッチングに使用されるのと同じ化学物質を使用して水平面から除去される。例えば、プロセスは、水平面からスズ含有不動態化材料を除去するための第1のバイアスを伴うプラズマエッチングで開始し得、次いで、プロセスが主酸化スズエッチングに移行するにつれてバイアスは低減またはオフにされ得る。いくつかの実施形態では、水平面からの不動態化材料および酸化スズのエッチング中、塩素系化学物質(例えば、BCl3/Cl2プラズマ)が使用される。
次に、動作4407を参照すると、プロセスは、マンドレルの側壁で酸化スズを完全に除去することなく、マンドレルの水平面から酸化スズを完全に除去することが続く。このエッチングは、水素系エッチング(例えば、H2プラズマ)、塩素系エッチング(例えば、プラズマ中のCl2および/またはBCl3)、HBrプラズマエッチング、またはこれらのエッチングの任意の組み合わせを使用するなど、本明細書に記載の適切な酸化スズエッチング化学物質のいずれかを使用して実施することができる。図3Cおよび図3Dに図示される実施形態では、このエッチングは、2つのステップで実施される。最初のステップでは、酸化スズのバルクが、塩素系エッチング(例えば、プラズマ中のBCl3およびCl2)を使用して水平面からエッチングされて図3Cに示す構造を提供し、構造は、マンドレルの上部に露出した酸化シリコンの外側マンドレル材料を有し、マンドレルの底部コーナに過剰な酸化スズを有する。次に、過剰な酸化スズが水素系オーバーエッチング化学物質(例えば、プラズマ中のH2)でエッチングされ、図3Dに示す構造を提供する。次に、図1A~図1Fを参照して前述したように、マンドレル材料が選択的にエッチングおよび除去され、スペーサ307を残す。図示の実施形態では、マンドレルエッチング化学物質はまた、不動態化層309を除去し、図3Eに示す構造をもたらす。ESLのその後の処理は、図1D~図1Fを参照して前述したように続くことができる。
もう1つの特定の例では、層301はTiNであり、ESL303は酸化シリコン層であり、マンドレル305は酸化シリコン外側層302で覆われたシリコン(Si)であり、層307は酸化スズである。この例を参照すると、処理方法は、酸化シリコン層を有する基板、および複数のシリコン突起を提供することであって、シリコン突起は、天然の酸化シリコンで覆われていることと、続いて基板の上に(例えば、ALDによって)共形的に酸化スズ層を堆積することと、突起フィーチャの側壁上の酸化スズの上にのみ酸化シリコン不動態化層(例えば、厚さ1~2nm)を形成することとを含む。不動態化層が形成された後、方法は、突起フィーチャの側壁に存在する酸化スズを完全に除去することなく、水平面から酸化スズをエッチングすることが続く。この例では、エッチングは、主(バルク)エッチング(例えば、Cl2/BCl3プラズマエッチング)およびそれに続くオーバーエッチングを使用して行われ、オーバーエッチングは、例えば、フッティングを低減するために使用される水素プラズマエッチングであり得る。エッチング後、方法は、酸化スズスペーサを除去することなくシリコンマンドレルを除去することが続く。側壁上の酸化シリコン不動態化層は、最初に酸化スズの上に酸化シリコンを共形的に堆積し(例えば、PECVDまたはALDによって)、次に水平面から酸化シリコンを選択的にエッチングする(例えば、フッ化炭素プラズマによって)ことによって形成することができることに留意されたい。このシーケンスは、BCl3/Cl2プラズマ主エッチングおよびそれに続く水平面からの酸化スズ除去のためのH2プラズマオーバーエッチングを使用して実験的にテストされた。この実験的にテストされた例では、主エッチング後、臨界寸法損失はゼロnmであり、フッティングは約6nmであり、酸化シリコンESLへのエッチング量はゼロnmである。オーバーエッチング後、臨界寸法損失、フッティング、および酸化シリコンESLへのエッチング量は、すべてゼロnmであった。
別の特定の例では、酸化スズの外側部分から形成された窒化スズが不動態化材料として使用される。いくつかの実施形態では、酸化スズスペーサの形成中に窒化スズ不動態化層を使用して酸化スズの側壁を不動態化し、それによってスペーサにおける変動を低減することが好ましい。いくつかの実施形態では、方法は、(a)酸化シリコン層を有する基板、および複数のシリコン突起を提供することであって、シリコン突起は、天然の酸化シリコンで覆われることと、(b)基板の上に共形的に酸化スズ層を堆積する(例えば、ALDによって)ことと、(c)突起フィーチャの側壁上の酸化スズの上にのみ薄い窒化スズ不動態化層を形成することと、(d)突起フィーチャの側壁に存在する酸化スズを完全に除去することなく、水平面から酸化スズをエッチングすることと(例えば、主(バルク)エッチング(例えば、Cl2/BCl3エッチング)とオーバーエッチングの組み合わせを使用し、オーバーエッチングは、例えばフッティングを低減するために使用される水素プラズマエッチングであり得る)、(d)酸化スズスペーサを除去することなくシリコンマンドレルを除去することとを含む。側壁上の窒化スズ不動態化層は、最初に酸化スズ層全体にわたって不動態化層を共形的に形成し、続いて水平面から窒化スズを選択的に除去することによって形成することができる。いくつかの実施形態では、窒化スズ層は、露出した酸化スズ層を窒素含有プラズマで処理することによって形成される。例えば、プラズマは、N2またはNH3などの窒素含有ガスで形成され得る。プラズマは、直接(基板を収容する同じチャンバ区画で形成される)または遠隔(異なるチャンバまたはチャンバ区画で形成され、基板を収容する区画に供給される)であり得る。いくつかの実施形態では、この窒化処理は、酸化スズ層の堆積に使用されるのと同じプロセスチャンバ内で実施される。他の実施形態では、窒化は、異なるチャンバ内で実施される。いくつかの実施形態では、窒化プラズマ処理は、約5秒未満で実施される。窒化スズの共形層を形成するための別の方法は、共形的な酸化スズ層の上に窒化スズを堆積させることである。窒化チタンは、例えば、ALDまたはCVDによって堆積させることができる。いくつかの実施形態では、ALD堆積は、基板をスズ含有前駆体と接触させ、基板の表面上にスズ含有層を形成し、続いて窒素含有プラズマで処理することを伴い、プロセスは必要な回数繰り返され、所望の厚さの不動態化層を構築する。共形的な窒化チタン層が形成された後、窒化スズが水平面からエッチングされ、側壁上にのみ窒化チタンが残る。いくつかの実施形態では、このエッチングの化学物質は主エッチングの化学物質と同じであるが、主エッチングよりも垂直方向に異方性であるように、基板バイアスを使用して実行される。例えば、窒化チタンは、基板バイアスによるCl2/BCl3プラズマエッチングを使用して水平面から除去することができる。次に、Cl2/BCl3主エッチングが続き(例えば、基板バイアスはないか、または水平面からの窒化チタンの除去中に使用されるバイアスよりも低いバイアスを伴う)、その後にH2オーバーエッチングが続く。窒化チタンは、主エッチングプロセス中に側壁における酸化スズに対して優れた保護を提供する。不動態化層としての窒化チタンの使用は実験的にテストされており、マンドレルの側壁上の酸化スズの厚さは、窒化スズ不動態化層が使用された場合、酸化スズ窒化なしで処理された同一の構造よりも厚いことが確認された。
ハードマスク用途
いくつかの実施形態では、酸化スズ膜がハードマスクとして使用される。酸化スズハードマスクは、凹状フィーチャを有する基板を形成するようにパターニングすることができ、凹状フィーチャの底部に露出した材料がある。次に、基板は酸化スズハードマスクの存在下で処理することができる。いくつかの実施形態では、処理は、凹状フィーチャの底部に露出した材料をエッチングすることを伴う。他の実施形態では、処理は、材料を凹状フィーチャに堆積することを伴い得る。他の実施形態では、処理は、凹状フィーチャの底部に露出した材料を化学的に修飾することを伴い得る。
パターニングされた酸化スズ層は、様々な方法を使用して形成することができる。一実施形態では、パターニングされた酸化スズ膜は、フォトリソグラフィパターニングを使用して形成される。方法は、一実施形態では、基板上に形成されたブランケット酸化スズ層を有する基板を提供することと、ブランケット酸化スズ層の上にパターニングされたフォトレジスト層を形成することとを含む。いくつかの実施形態における酸化スズ層の上のパターニングされたフォトレジスト層は、酸化スズ層と接触してその上部に直接形成される。他の実施形態では、酸化スズの層とフォトレジストとの間に1つまたは複数の中間ハードマスク層があり得る。フォトレジスト層が堆積され、標準的なフォトリソグラフィ技術を使用してパターニングされた後、フォトレジストからのパターンが酸化スズ層上に転写され、すなわち、露出した酸化スズ層がエッチングされる。いくつかの実施形態では、酸化スズ層は、水素系エッチング(例えば、プラズマ中のH2)などの選択的エッチングを使用して、露出したフォトレジストの存在下でエッチングされる。中間ハードマスクが酸化スズの層とフォトレジストとの間に存在するとき、フォトレジストからのパターンは、最初にこれらの中間ハードマスク(例えば、スピンオングラスなどのシリコン含有化合物を含むマスク、または炭素マスク)に転写され、次に酸化スズに転写される。いくつかの実施形態では、酸化スズ層は、水素系エッチングおよび/または塩素系エッチングなどの適切な選択的化学物質を使用して、別の中間ハードマスク材料(例えば、シリコン含有化合物または炭素)の存在下でエッチングされる。
図5A~図5Cは、酸化スズマスクで処理されている基板の概略断面図を示している。図6は、基板を酸化スズハードマスクで処理するためのプロセスフロー図を提供する。動作601において、パターニングされた酸化スズ層を有する基板が提供される。酸化スズ層は、上述のフォトリソグラフィ技術を使用して、または図1A~図1Dを参照して説明された酸化スズスペーサを形成するために使用されるプロセスシーケンスを使用してパターニングされ得る。そのような基板の一例が図5Aに示されており、基板は、副層51と、副層51とパターニングされた酸化スズ層55との間に存在する層53とを含む。基板の露出した部分は、酸化スズ層55に形成された凹状フィーチャを含む。層53の材料は、凹状フィーチャの底部に露出される。図示の実施形態では、パターニングされた酸化スズ層55の上部に追加の材料はないが、他の実施形態では、酸化スズ55の上部に存在する中間ハードマスクからのフォトレジストまたは材料があり得る。
次に、動作603において、基板は、酸化スズ層55の存在下で処理される。処理は、例えば、露出した材料53のエッチング、凹状フィーチャへの材料の堆積、または露出した材料53の化学修飾を伴い得る。材料53のエッチングが図5Bに示されており、酸化スズ層55のパターンによって画定された凹状フィーチャが層53に形成される。酸化スズ55の存在下で層53の材料をエッチングするために、様々な選択的エッチング化学物質を使用することができる。例えば、層53がシリコン含有材料であるとき、層はフッ素系化学物質を使用して、酸化スズの存在下で選択的にエッチングされ得る。例えば、酸化シリコン、窒化シリコン、および炭化シリコンなどのシリコン含有化合物は、フッ化炭素プラズマ化学物質を使用してエッチングすることができる。層53が炭素含有層(例えば、非晶質炭素)であるとき、層は本明細書に記載のように酸素系化学物質を使用して選択的にエッチングされ得る。層53が金属酸化物層(例えば、酸化チタン、酸化ジルコニウム、酸化タンタル、酸化ハフニウム)であるとき、層は本明細書に記載のように塩素系化学物質を使用して(例えば、プラズマ中のBCl3/Cl2)、酸化スズの存在下で選択的にエッチングされ得る。副層51の材料は、層53の材料とは異なり、層51は、層53のエッチング中に実質的にエッチングされない。図5Bに示す結果として得られる構造は、層55および53に形成された凹状フィーチャと、凹状フィーチャの底部にある副層51の露出した材料とを有する。
次に、動作603において、酸化スズ材料55が除去されて図5Cに示す構造を提供し、パターニングされた層53が副層51の上に存在する。いくつかの実施形態では、このシーケンスは、副層51をパターニングするためにさらに使用され、副層51は、いくつかの実施形態では、SiN層、金属窒化物(例えば、TiN、またはTaN)、または金属層である。酸化スズの除去は、好ましくは、層53と層51の両方の材料に対して選択的な化学物質を使用して実施される。例えば、これらの材料がシリコン含有材料、炭素含有材料、金属酸化物、金属窒化物、または金属であるとき、選択的水素系エッチング(例えば、H2プラズマエッチング)を使用することができる。さらに、いくつかの実施形態では、層53および51の材料がシリコン含有材料または炭素含有材料であるとき、塩素系エッチング(例えば、プラズマ中のBCl3/Cl2)が用いられてもよい。
酸化スズハードマスクを使用するプロセスフローの1つの特定の例では、副層51はSiN層または金属層であり、層53は酸化シリコンである。プロセスは、SiNまたは金属層51の上に酸化シリコンの露出した層を有する平面基板を提供することによって開始する。次に、ブランケット酸化スズ層が酸化シリコンの上に堆積され、次いで酸化スズがパターニングされ(例えば、フォトリソグラフィパターニングを使用して)、図5Aに示す構造をもたらす。次に、露出した酸化シリコンは、例えば、フッ化炭素プラズマを使用して酸化スズに対して選択的にエッチングされ、図5Bに示す構造をもたらす。次に、酸化スズが、例えば、水素プラズマエッチングを使用してストリッピング(除去)され、図5Cに示す構造もたらす。
酸化スズ中間層
別のハードマスクの実施態様では、酸化スズは、炭素層または別の材料のパターニングのための中間ハードマスク(中間層)として使用される。一実施形態では、基板が提供され、基板は、炭素含有層上(例えば、非晶質炭素層上)に形成された酸化スズのパターニングされた層を含み、基板は複数の凹状フィーチャを含み、凹状フィーチャは底部に露出した炭素含有材料を有する。次に、露出した炭素含有材料が酸化スズの存在下で選択的にエッチングされ、炭素含有層に凹状フィーチャを形成する。適切な選択的エッチング化学物質は、水素系エッチング化学物質(例えば、プラズマ中のH2、およびプラズマ中のHBr)と、塩素系エッチング化学物質(例えば、プラズマ中のBCl3および/またはCl2)とを含む。1つの適切なプロセスシーケンスは、図5D~図5Gに示す断面基板図によって示されている。図5Dに示す基板は、副層51(例えば、非晶質シリコンまたは本明細書に記載のターゲット層のいずれか)と、副層51の上に存在する炭素含有材料(例えば、非晶質炭素)のブランケット層53と、炭素含有層53の上に存在するブランケット酸化スズ層55とを含む。基板は、酸化スズ層55の上にパターニングされたフォトレジスト層57と、フォトレジスト57と酸化スズ層55との間に下層56とをさらに含み、下層は、例えば、スピンオングラスであってもよい。下層56は、基板の表面上のパターニングされたフォトレジスト層57に形成された凹状フィーチャの底部に露出している。フォトレジストのパターンは、フォトレジストの存在下で、例えば、フッ化炭素系プラズマエッチングによって下層を選択的にエッチングすることによって、下層56に転写される。エッチングは、図5Eに示すように、凹状フィーチャの底部に酸化スズ層55を露出させる。次に、酸化スズは、好ましくは下層材料に対して選択的であるエッチングを使用してパターニングされる。例えば、酸化スズは、水素系エッチングまたは塩素系エッチングを使用してエッチングすることができる。図5Fに示す結果として得られる構造では、パターンはフォトレジストから酸化スズ層に転写されており、炭素含有層53は凹状フィーチャの底部に露出している。次に、プロセスは、露出した炭素含有層53をエッチングすることが続く。好ましくは、酸化スズに選択的な化学物質が使用される。例えば、露出した炭素含有層53は、酸素系エッチングによってエッチングされ得る(例えば、炭素は、酸素含有ガスで形成されたプラズマによってエッチングされ得る)。残りのフォトレジストおよび下層56もまた、このステップで除去することができる。このエッチング後に得られた構造を、図5Gに示す。プロセスは、酸化スズ55の除去およびその後の露出した副層51の処理がさらに続く可能性がある。
中間層の実施形態の別の実施態様は、図5H~図5Kに示されている。シーケンスは、図5D~図5Gを参照して説明したものと同様であるが、下層56なしで実施される。このシーケンスでは、パターニングされたフォトレジスト57が酸化スズ層55上に直接形成され、その後、フォトレジストの存在下での酸化スズエッチング(例えば、水素系エッチング(H2またはHBr)を使用して)または塩素系エッチングが続く。次に、プロセスは、パターンを酸化スズ中間層55から炭素含有層53に転写することが続き、層53自体が、下にある層51をパターニングするためのハードマスクとして機能し得る。次に、酸化スズ55は、例えば、水素系エッチング化学物質(例えば、プラズマ中のH2)を使用して、炭素含有層53の存在下で選択的にエッチングおよび除去される。これらの中間層シーケンスは、EUVハードマスク処理用途に適している。
別の実施形態では、フォトレジストパターンを酸化スズ層に転写するために、高度に選択的なエッチングが使用される。例えば、酸化スズは、炭素含有反応剤の添加を伴う水素系エッチング化学物質を使用して、上にあるフォトレジストおよび下にある材料の存在下で選択的にエッチングすることができ、炭素含有反応剤は、基板の表面上に炭素含有ポリマーを形成し、エッチング選択性を増加させるために使用される。例えば、プラズマは、本明細書に記載のように、H2、炭化水素(例えば、CH4)、および任意選択で不活性ガスの混合物中で形成され得る。これは、図5H~図5Kを参照して説明される。この例では、図5Hに示すように、フォトレジストのパターニングされた層57が、酸化スズ層55(例えば、9~12nmの厚さ)の上に形成される。この図では、酸化スズ層の真下にある材料の層は、タングステン炭素層53(35~55nmの厚さ)である。この図の層51は、酸化シリコン(例えば、TEOSキャップ)であり、これはBEOLプロセスシーケンスで使用される極低k誘電体の層上に存在し得る。まず、酸化スズが、高度に選択的なH2/CH4プラズマエッチングを使用して、フォトレジストおよびタングステン炭素の存在下で選択的にエッチングされる。図5Iに示すように、凹状フィーチャが形成され、タングステン炭素層が露出される。次に、タングステン炭素が酸化スズの存在下で選択的にエッチングされ、下にあるTEOS層を露出させる。例えば、タングステン炭素は、本明細書に記載のNF3/Cl2プラズマエッチングを使用して、酸化スズに対して選択的にエッチングすることができる。フォトレジストはまた、このステップの間に実質的に除去され得る。結果として得られる構造を、図5Jに示す。次に、酸化スズは、炭素タングステンに選択的なエッチングを使用して基板から除去される。いくつかの実施形態では、H2プラズマを使用して酸化スズを除去することが好ましい。いくつかの実施形態では、炭化水素添加剤は、このステップの間は使用されない。例えば、酸化スズは、以下のプロセス条件下でH2エッチングを使用して下にある層がエッチングされた後に除去することができる。この例では、エッチングは、100~500sccmの流量でH2を流すことと、(1つの300mmウエハごとに)100~500WのRF電力を使用してこのプロセスガスでプラズマを形成することとを伴う。このエッチングは、基板バイアスの有無にかかわらず実行することができる。例えば、基板バイアスは、0~100Vb、例えば10~100Vbであり得る。プロセスは、100℃未満の温度で5~50mTorrの圧力において実施することができる。
酸化スズ中間層ハードマスクを使用した別のプロセスフローが、図5L~図5Oの断面図によって示されている。この例では、図5Lに示すように、フォトレジストのパターニングされた層57が、酸化スズ層55(例えば、9~12nmの厚さ)の上に形成される。この図では、酸化スズ層の真下にある材料の層は、非晶質シリコン(Si)層53(35~55nmの厚さ)である。この図の層51は、酸化シリコンエッチング停止層である。この図では、フォトレジストは、上部よりも底部の方が広くなるように堆積される。H2/炭化水素プラズマエッチングを使用することで、フォトレジスト幅の差を低減し、断面を実質的に長方形にすることが可能であることが示された。まず、酸化スズが、高度に選択的なH2/CH4プラズマエッチングを使用して、フォトレジストおよび非晶質シリコンの存在下で選択的にエッチングされる。図5Mに示すように、凹状フィーチャが形成され、非晶質シリコン層が露出され、フォトレジストの幾何学的形状が改善される。次に、シリコンが酸化スズの存在下で選択的にエッチングされ、下にあるエッチング停止層を露出させる。例えば、シリコンは、本明細書に記載のNF3/Cl2プラズマエッチングを使用して、酸化スズに対して選択的にエッチングすることができる。このエッチングにより、40を超えるエッチング選択性(シリコンから酸化スズ)を達成することができる。フォトレジストはまた、このステップの間に部分的に除去され得る。結果として得られる構造を、図5Nに示す。次に、酸化スズは、シリコンに選択的なエッチングを使用して基板から除去される。いくつかの実施形態では、H2プラズマを使用して酸化スズを除去することが好ましい。残りのフォトレジスト57は、H2プラズマ処理中に除去することができる。結果として得られる構造を、図5Oに示す。
ハードマスクとして酸化スズを使用すると、パターニングされる一般的な層である酸化シリコンに対して非常に高い選択性でエッチングすることができるため、非常に有利である。また、ドライプラズマエッチング化学物質(例えば、水素系または塩素系化学物質)を使用して、炭素、フォトレジスト、金属、金属窒化物、および金属酸化物を含む、多種多様な他の材料に選択的にエッチングすることもできる。酸化スズはウェットエッチングを必要とせず、水素プラズマでエッチングすることができるため、酸化スズハードマスクも窒化チタンハードマスクと比較して有利であるが、窒化チタンは典型的にはウェットエッチング法によって除去される。
リバーストーンハードマスク
いくつかの実施形態では、酸化スズは、様々なリバーストーンハードマスク用途で使用される。1つの例示的なプロセスフローが図8に示され、図7A~図7Cの基板の概略断面図によって示されている。プロセスは、801において、露出したパターニングされた灰化可能(ashable)層および複数の凹状フィーチャを有する基板を提供することによって開始する。灰化可能材料の例には、非晶質炭素、ダイヤモンド状炭素、フォトレジスト、および有機ポリマーなどの炭素含有材料が挙げられ、ポリマーは、金属または金属酸化物でドープされていないか、またはドープされ得る。灰化可能材料のパターニングされた層は、例えば、灰化可能材料のブランケット層を堆積することによって(例えば、PECVDまたはスピンオン法によって)、続いてフォトリソグラフィパターニングによって形成することができる。図7Aは、副層701(例えば、Si、SiN、金属窒化物、または本明細書に記載の任意のターゲットもしくは副層材料)上に存在する灰化可能材料703のパターニングされた層を有する基板を示している。基板上に複数の凹状フィーチャがあり、層701は凹状フィーチャの底部に露出している。次に、動作803において、基板上の凹状フィーチャは、酸化スズで充填される(例えば、CVDを使用して)。このステップでは、典型的には、灰化可能材料703の層の上にオーバーバーデンも形成される。得られた構造が図7Bに示され、堆積された酸化スズ705は、灰化可能材料703の間のギャップを充填し、オーバーバーデンを形成する。次に、オーバーバーデンは、例えば、化学機械研磨(CMP)動作またはバルクプラズマエッチング(例えば、水素系および/または塩素系プラズマエッチングを使用する)によって除去され、灰化可能材料703を露出させることができる。平坦化後、動作805において、灰化可能材料は、酸化スズ材料を実質的に除去することなく除去され(例えば、酸化スズの少なくとも90%が残る)、それによって図7Cに示すような酸化スズ705の相補的パターンを形成する。
1つの特定の例では、ターゲット層(例えば、酸化シリコン、窒化シリコン、または金属)上に存在するパターニングされた炭素層を含む基板が提供される。パターニングされた炭素層は、複数の凹部を有する(例えば、約5~50nmの幅を有する)。次に、凹部は酸化スズで充填され、酸化スズのオーバーバーデンが形成される(例えば、CVD)。次に、(例えば、CMPまたは炭素に選択的なドライプラズマエッチングによって)酸化スズのオーバーバーデンが除去されて炭素を露出させ、次に炭素が、酸化スズを完全に除去することなく、例えばO2プラズマを使用して除去(ストリッピング)される。
リバーストーンマスクの実施態様の別の実施形態が図10のプロセスフロー図に示され、図9A~図9Cの処理された基板の概略断面図によって示されている。プロセスは、1001において、パターニングされた酸化スズ層および複数の凹状フィーチャを有する基板を提供することによって開始する。これは図9Aに示されており、パターニングされた酸化スズ層903は、副層901(例えば、金属窒化物、または金属層)上に存在する。副層901は、酸化スズ層903に形成された凹状フィーチャの底部に露出される。次に、動作1003において、凹状フィーチャは、例えばCVDによって、シリコン含有材料によって充填される。適切なシリコン含有材料の例には、シリコン(例えば、非晶質シリコンまたはポリシリコン)、およびシリコン含有化合物、例えば、酸化シリコン、窒化シリコン、および炭化シリコンが挙げられる。この堆積中、オーバーバーデンが酸化スズ層の上に形成され得る。結果として得られる構造が図9Bに示され、シリコン含有材料905は、パターニングされた酸化スズ層のギャップを充填し、オーバーバーデンを形成する。次に、オーバーバーデンは、CMPまたはプラズマエッチング(例えば、フッ化炭素プラズマエッチングなどのフッ素系エッチング)によって除去され、酸化スズ903が露出される。次の動作1005において、酸化スズは、シリコン含有材料を除去することなく除去され、それによってシリコン含有材料のパターニングされた層(酸化スズパターンに対するリバーストーンまたは相補的パターン)を形成する。酸化スズは、例えば、水素系エッチング(例えば、H2プラズマエッチング、またはHBrプラズマエッチング)または塩素系エッチング(例えば、BCl3/Cl2エッチング)を使用して、シリコン含有材料に選択的にエッチングされる。エッチング後に得られた構造が図9Cに示され、パターニングされたシリコン含有層905が示されている。副層901は、シリコン含有材料905に形成された凹状フィーチャの底部に露出される。
一実施態様では、ターゲット層を有する基板が提供され、ターゲット層の上に酸化スズのパターニングされた層がある。次に、パターニングされた酸化スズの凹状フィーチャが酸化シリコンで充填され、酸化シリコンのオーバーバーデンが形成される(例えば、PECVDによって)。次に、酸化シリコンのオーバーバーデンがエッチングされて(凹部がエッチングされる)酸化シリコンを露出させ、次に酸化シリコンが、例えば水素プラズマを使用して除去(ストリッピング)され、それによって最初の酸化シリコンパターンに相補的なパターンを有するパターニングされた酸化シリコンを残す。
装置
本明細書に記載のエッチング方法は、様々な装置で行うことができる。適切な装置は、エッチングプロセスチャンバと、エッチング中に所定の位置に基板を保持するように構成されたエッチングプロセスチャンバ内の基板ホルダと、プロセスガス中にプラズマを生成するように構成されたプラズマ生成機構とを含む。
適切な装置の例には、誘導結合プラズマ(ICP)リアクタが挙げられ、これは特定の実施形態では、原子層エッチング(ALE)動作および原子層堆積(ALD)動作を含む周期的な堆積および活性化プロセスにも適し得る。ICPリアクタが本明細書では詳細に説明されているが、容量結合プラズマリアクタも使用されてもよいことを理解されたい。
図11は、本明細書に記載のプラズマエッチングを実施するのに適切な誘導結合プラズマエッチング堆積統合装置400の断面図を概略的に示し、その一例は、カリフォルニア州フリーモントのLam Research Corporationによって製造されているKiyo(登録商標)リアクタである。誘導結合プラズマ装置400は、チャンバ壁401および窓411によって構造的に画定された総合プロセスチャンバ424を含む。チャンバ壁401は、ステンレス鋼またはアルミニウムから製作することができる。窓411は、石英または他の誘電体材料から製作することができる。任意選択の内部プラズマグリッド450は、プロセスチャンバ全体を上部サブチャンバ402および下部サブチャンバ403に分割する。ほとんどの実施形態では、プラズマグリッド450を除去することができ、それによってサブチャンバ402および403からなるチャンバ空間を利用することができる。チャック417が、底部内面近くの下部サブチャンバ403内に位置決めされる。チャック417は、エッチングおよび堆積プロセスが実施される半導体ウエハ419を受け入れて保持するように構成される。チャック417は、存在する場合、ウエハ419を支持するための静電チャックであり得る。いくつかの実施形態では、エッジリング(図示せず)がチャック417を囲み、チャック417の上に存在する場合、ウエハ419の上面とほぼ平面である上面を有する。チャック417はまた、ウエハ419をチャックおよびデチャックするための静電電極を含む。この目的のために、フィルタおよびDCクランプ電源(図示せず)が設けられてもよい。チャック417からウエハ419を持ち上げるための他の制御システムもまた、設けられてもよい。チャック417は、RF電源423を使用して充電させることができる。RF電源423は、接続部427を通して整合回路421に接続される。整合回路421は、接続部425を通してチャック417に接続される。このようにして、RF電源423はチャック417に接続される。様々な実施形態において、静電チャックのバイアス電力は、開示された実施形態に従って実施されるプロセスに応じて、約50Vbに設定され得るか、または異なるバイアス電力に設定され得る。例えば、バイアス電力は、約20Vb~約100Vb、または約30Vb~約150Vbであり得る。
プラズマ生成のための要素は、窓411の上に位置決めされたコイル433を含む。いくつかの実施形態では、コイルは、開示された実施形態では使用されない。コイル433は、導電性材料から製作され、少なくとも1つの完全なターンを含む。図4に示すコイル433の例は、3ターンを含む。コイル433の断面は記号で示されており、「X」を有するコイルはページ内に回転して延びるが、「●」を有するコイルはページ外に回転して延びる。プラズマ生成のための要素はまた、RF電力をコイル433に供給するように構成されたRF電源441を含む。一般に、RF電源441は、接続部445を通して整合回路439に接続される。整合回路439は、接続部443を通してコイル433に接続される。このようにして、RF電源441はコイル433に接続される。任意選択のファラデーシールド449aは、コイル433と窓411との間に位置決めされる。ファラデーシールド449aは、コイル433に対して間隔を置いて離れた関係に維持され得る。いくつかの実施形態では、ファラデーシールド449aは、窓411のすぐ上に配置される。いくつかの実施形態では、ファラデーシールド449bは、窓411とチャック417との間にある。いくつかの実施形態では、ファラデーシールド449bは、コイル433に対して間隔を置いて離れた関係に維持されていない。例えば、ファラデーシールド449bは、ギャップなしに窓411の真下にあり得る。コイル433、ファラデーシールド449a、および窓411は各々、互いに実質的に平行になるように構成される。ファラデーシールド449aは、金属または他の種がプロセスチャンバ424の窓411上に堆積するのを防止することができる。
プロセスガス(例えば、H2およびHeなど)は、上部サブチャンバ402に位置決めされた1つまたは複数の主ガス流入口460を通して、および/または1つまたは複数の側ガス流入口470を通してプロセスチャンバに流入され得る。同様に、明示的には示されていないが、同様のガス流入口を使用して、プロセスガスを容量結合プラズマ処理チャンバに供給することができる。真空ポンプ、例えば、1段または2段機械式ドライポンプおよび/またはターボ分子ポンプ440を使用して、プロセスチャンバ424からプロセスガスを引き出し、プロセスチャンバ424内の圧力を維持することができる。例えば、真空ポンプを使用して、パージ動作中に下部サブチャンバ403を排気することができる。弁制御導管を使用して真空ポンプをプロセスチャンバ424に流体的に接続し、真空ポンプによって提供される真空環境の適用を選択的に制御することができる。これは、プラズマ処理動作中、スロットル弁(図示せず)または振り子弁(図示せず)などの閉ループ制御流量制限装置を用いて行うことができる。同様に、容量結合プラズマ処理チャンバへの真空ポンプおよび弁制御流体接続部も用いることができる。
装置400の動作中、H2含有ガスなどの1つまたは複数のプロセスガスは、ガス流入口460および/または470を通して供給され得る。特定の実施形態では、プロセスガスは、主ガス流入口460を通してのみ、または側ガス流入口470を通してのみ供給され得る。場合によっては、図に示すガス流入口は、より複雑なガス流入口、例えば1つまたは複数のシャワーヘッドに置き換えることができる。ファラデーシールド449aおよび/または任意選択のグリッド450は、プロセスチャンバ424へのプロセスガスの送給を可能にする内部チャネルおよび孔を含み得る。ファラデーシールド449aおよび任意選択のグリッド450のいずれかまたは両方が、プロセスガスを送給するためのシャワーヘッドとして機能してもよい。いくつかの実施形態では、液体気化および送給システムが、液体反応剤または前駆体が気化されると、気化した反応剤または前駆体がガス流入口460および/または470を介してプロセスチャンバ424に導入されるように、プロセスチャンバ424の上流に位置し得る。
無線周波数電力は、RF電源441からコイル433に供給され、RF電流がコイル433を通って流れるようにする。コイル433を通って流れるRF電流は、コイル433の周りに電磁場を生成する。電磁場は、上部サブチャンバ402内で誘導電流を生成する。様々な生成されたイオンおよびラジカルとウエハ419の物理的および化学的相互作用により、ウエハ419上のフィーチャがエッチングされ、ウエハ419上に層が選択的に堆積される。
プラズマグリッド450が、上部サブチャンバ402と下部サブチャンバ403の両方が存在するように使用される場合、誘導電流は、上部サブチャンバ402に存在するガスに作用し、上部サブチャンバ402に電子-イオンプラズマを生成する。任意選択の内部プラズマグリッド450は、下部サブチャンバ403内の熱電子の量を限定する。いくつかの実施形態では、装置400は、下部サブチャンバ403に存在するプラズマがイオン-イオンプラズマであるように設計および動作される。
上部の電子-イオンプラズマと下部のイオン-イオンプラズマの両方は陽イオンおよび陰イオンを含み得るが、イオン-イオンプラズマは陽イオンに対してより大きい比率の負イオンを有する。揮発性エッチングおよび/または堆積副生成物は、ポート422を通して下部サブチャンバ403から除去され得る。例えば、H2プラズマを使用した酸化スズのエッチング中に生成された水素化スズは、パージおよび/または排気中にポート422を通して除去することができる。本明細書に開示されるチャック417は、約10℃~約250℃の範囲の高温で動作することができる。温度は、プロセス動作および特定のレシピによって異なる。いくつかの実施形態では、装置は、約100℃未満の温度でエッチングを実行するように制御される。
装置400は、クリーンルームまたは製作施設に設置される場合、設備(図示せず)に結合されてもよい。設備は、処理ガス、真空、温度制御、および環境粒子制御を提供する配管を含む。これらの設備は、目的の製作施設に設置されると、装置400に結合される。加えて、装置400は、ロボットが典型的な自動操作を使用して半導体ウエハが装置400に出入りすることを可能にする移送チャンバに結合され得る。
いくつかの実施形態では、システムコントローラ430(1つまたは複数の物理的または論理的コントローラを含み得る)が、プロセスチャンバ424の動作のいくつかまたはすべてを制御する。システムコントローラ430は、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含むことができる。いくつかの実施形態では、装置400は、プロセスガスの流量を制御するためのスイッチングシステムを含む。コントローラは、いくつかの実施形態では、本明細書で提供される方法のいずれかのステップを引き起こすためのプログラム命令を含む。
いくつかの実施態様では、システムコントローラ430はシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器はシステムコントローラ430に一体化することができ、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。システムコントローラは、処理パラメータおよび/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、システムコントローラ430は、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して行うための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製作または除去における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
システムコントローラ430は、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、システムコントローラ430は命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、システムコントローラ430は、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを含むことによって分散されてもよい。このような目的のための分散型コントローラの一例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、ALEチャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
図12は、真空移送モジュール538(VTM)と連動する様々なモジュールを備えた半導体プロセスクラスタアーキテクチャを図示する。複数の格納施設および処理モジュール間でウエハを「移送する」ための様々なモジュールの配置は、「クラスタツールアーキテクチャ」システムと呼ばれることがある。ロードロックまたは移送モジュールとしても知られるエアロック530は、VTM538と連動し、VTM538は、様々な製作プロセスを実施するために個々に最適化され得る4つの処理モジュール520a~520dと連動する。例として、処理モジュール520a~520dは、基板のエッチング、堆積、イオン注入、ウエハ洗浄、スパッタリング、および/または他の半導体プロセスを実施するために実装され得る。いくつかの実施形態では、酸化スズの堆積および酸化スズのエッチングは、同じモジュール内で実施される。いくつかの実施形態では、酸化スズの堆積および酸化スズのエッチングは、同じツールの異なるモジュール内で実施される。基板エッチング処理モジュールの1つまたは複数(520a~520dのいずれか)は、例えば、共形膜の堆積、酸化スズの選択的エッチング、エアギャップの形成、および開示された実施形態による他の適切な機能のために、本明細書に開示されるように実装され得る。エアロック530および処理モジュール520a~520dは、「ステーション」と呼ばれることがある。各ステーションは、ステーションをVTM538に連動するファセット536を有する。各ファセット内では、センサ1~18は、それぞれのステーション間を移動する際のウエハ526の通過を検出するために使用される。
ロボット522は、ステーション間でウエハ526を移送する。一実施形態では、ロボット522は1つのアームを有し、別の実施形態では、ロボット522は2つのアームを有し、各アームは、搬送のためにウエハ526などのウエハを取り上げるエンドエフェクタ524を有する。大気移送モジュール(ATM)540内のフロントエンドロボット532は、ロードポートモジュール(LPM)542内のカセットまたはフロントオープニングユニファイドポッド(FOUP)534からエアロック530にウエハ526を移送するために使用される。処理モジュール520a~520d内のモジュール中心528は、ウエハ526を載置するための1つの場所である。ATM540内のアライナ544は、ウエハを位置合わせするために使用される。
例示的な処理方法では、ウエハは、LPM542内のFOUP534のうちの1つに載置される。フロントエンドロボット532は、ウエハをFOUP534からアライナ544に移送し、これによりウエハ526は、エッチングまたは処理される前に適切に中心に置かれることが可能になる。位置合わせされた後、ウエハ526は、フロントエンドロボット532によってエアロック530に移動される。エアロック530はATM540とVTM538との間の環境を一致させることができるため、ウエハ526は、損傷を受けることなく2つの圧力環境の間を移動することができる。エアロック530から、ウエハ526は、ロボット522によってVTM538を通って、処理モジュール520a~520dの1つに移動される。このウエハの移動を達成するために、ロボット522は、そのアームの各々においてエンドエフェクタ524を使用する。ウエハ526は、処理されると、ロボット522によって処理モジュール520a~520dからエアロック530に移動される。ウエハ526は、ここからフロントエンドロボット532によって、FOUP534の1つまたはアライナ544に移動され得る。
ウエハの移動を制御するコンピュータは、クラスタアーキテクチャに固有であることも、製造フロアのクラスタアーキテクチャの外部に位置することも、または遠隔位置でネットワークを介してクラスタアーキテクチャに接続することも可能であることに留意されたい。図11に関して上述されたコントローラは、図12のツールを用いて実装され得る。本発明によるプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラに結合されてもよい。
いくつかの実施形態では、装置が提供され、装置は、エッチング中に半導体基板を保持するように構成された基板ホルダを有するプロセスチャンバと、プロセスガス中にプラズマを生成するように構成されたプラズマ発生器と、コントローラとを含む。コントローラは、本明細書に記載の方法のいずれかを実施するためのプログラム命令を含む。
別の態様では、非一時的コンピュータ機械可読媒体が提供され、非一時的コンピュータ機械可読媒体は、本明細書に記載の方法のいずれかの実施を引き起こすためのコードを含む。
別の態様では、半導体基板上にスペーサまたはハードマスクを形成するためのシステムが提供される。システムは、1つまたは複数の堆積チャンバと、1つまたは複数のエッチングチャンバと、コントローラとを含む。コントローラは、本明細書に記載の任意の方法を実施するためのプログラム命令を含む。別の態様では、システムは、本明細書に記載の装置およびシステムのいずれか、ならびにステッパを含む。別の態様では、半導体基板を処理するためのシステムが提供される。一実施形態では、システムは、1つまたは複数の堆積チャンバと、1つまたは複数のエッチングチャンバと、(i)半導体基板上の複数の突起フィーチャの水平面および側壁の上に酸化スズ層の堆積を引き起こし、(ii)突起フィーチャの側壁における酸化スズ層上に不動態化層の形成を引き起こし、(ii)突起フィーチャの側壁の上の酸化スズ層の除去を引き起こすことなく、突起フィーチャの水平面から酸化スズ層の除去を引き起こすためのプログラム命令を含むシステムコントローラとを含む。
交互のエッチングプロセスおよび不動態化プロセス
いくつかの実施形態では、本明細書に記載の様々な半導体処理方法における酸化スズのエッチングは、非晶質シリコン、SiOC、SiON、SiONC、SiN、SiC、およびSiO2などの露出したシリコン含有層の存在下で実施される。いくつかの実施形態では、シリコン含有層は、酸化スズエッチング中に起こり得る望ましくないエッチングからさらに保護される。
そのような保護を利用するプロセスフロー図の一例が、図13に示される。プロセスは、1301において、シリコン含有層を有する基板を提供することによって開始し、基板は、露出した酸化スズ層(例えば、酸化シリコン層上の酸化スズフッティング)をさらに含む。そのような基板の一例が図14Aに示されており、これは図3Cと同一のものである。図14Aでは、基板は、エッチング停止層303上に配置された突起フィーチャ305を含む。図示の実施形態のエッチング停止層は、非晶質シリコン、SiOC、SiON、SiONC、SiN、SiC、またはSiO2などのシリコン含有層である。酸化スズ層307は、突起フィーチャ305の側壁に存在し、フッティング(突起フィーチャの底部での横方向の拡張)を形成し、これは一定の距離で酸化スズスペーサを形成するために減少させる必要がある。いくつかの実施形態では、フッティングは図3Dを参照して説明したように効率的に除去することができるが、場合によっては、フッティングのエッチングは、エッチング停止層303の不注意なエッチングを引き起こす可能性がある。この不注意なエッチングは、一例では、塩素系エッチング化学物質(例えば、Cl2/BCl3エッチング)が酸化スズのエッチング中に使用され、シリコン含有層がSiOCである場合に発生する可能性がある。
図13を参照すると、動作1303において、シリコン含有層は不動態化される。不動態化は、シリコン含有層を酸化スズエッチング化学物質に対してより耐性にする処理である。一例では、不動態化は、基板を酸素含有反応剤で処理することによって、例えば基板をプラズマ中の酸素含有反応剤で処理することによって実施される。例えば、プラズマは、O2、O3、SO2、CO2、またはこれらの酸素含有反応剤の任意の組み合わせを含むプロセスガスで形成され得る。いくつかの実施形態では、処理は、シリコン含有層の外側の露出した部分でのシリコン-酸素結合の形成をもたらす。例えば、不動態化処理後、SiOC層をより酸素に富むようにすることができる。別の例では、CVDによって堆積された酸化シリコンエッチング停止層は、残留炭素および水素を含み、酸素含有反応剤による不動態化は、層中の炭素および水素の含有量を低減し、層を酸化スズエッチング化学物質に対してより耐性にすることができる。不動態化されたエッチング停止層304を有する基板が、図14Bに示される。別の実施形態では、不動態化は、基板を窒素含有反応剤、例えばプラズマ中の窒素含有反応剤(例えば、N2)で処理することによって実施される。不動態化処理の目的は、シリコン含有材料(例えば、エッチング停止層材料)を、塩素系エッチング化学物質または水素系エッチング化学物質などの酸化スズエッチングに使用される酸化スズ化学物質に対してより耐性にすることである。
動作1305において、酸化スズがエッチングされる。酸化スズは、基板を塩素系化学物質(例えば、プラズマ中のCl2および/またはBCl3)、および/または水素系化学物質(例えば、本明細書に記載のような、H2、HBr、炭化水素、またはそれらの組み合わせによる処理)に曝露することなどによって、本明細書に記載の方法のいずれかを使用してエッチングすることができる。反応剤ガス(例えば、Cl2および/またはBCl3)は、ヘリウム、アルゴン、ネオン、またはキセノンなどの不活性希釈ガスと一緒に提供され得る。動作1307において、不動態化ステップ1303およびエッチングステップ1305は、交互に繰り返される。第1の不動態化ステップは、第1のエッチングステップの前または後に実施されてもよいことに留意されたい。例えば、方法は、エッチング/不動態化/エッチング/不動態化シーケンス、または不動態化/エッチング/不動態化/エッチングシーケンスを有し得る。いくつかの実施形態では、方法は、2~50、例えば5~20のエッチングステップおよび不動態化ステップを伴う(すなわち、エッチングステップおよび不動態化ステップの各々は、2~50、例えば5~20ステップ実施される)。必要な回数のエッチングおよび不動態化の後に得られた構造が、図14Cに示されている。1つの特定の例では、各エッチングステップは約10秒間実施され、各不動態化ステップは5秒間実施され、方法は、交互に8~20の各ステップを実施することを伴う。
本明細書に記載の交互のエッチングシーケンスおよび不動態化シーケンスは、いくつかの利点を提供することができる。第一に、シリコン含有エッチング停止層の望ましくないエッチングを最小限に抑えることができる一方、側壁の厚さを保持することができる。さらに、フッティングを効率的に除去することができる。本明細書に記載の方法は、露出した酸化スズのエッチング中にシリコン含有層を保護するために使用することができるが、図14A~図14Cを参照して説明したように酸化スズフッティングを除去するのに特に有用であることに留意されたい。酸化シリコンは一般に他のシリコン含有材料よりもエッチングに対して耐性があり、提供された方法は、SiOC、非晶質シリコン、SiOCN、およびSiCなどの材料を保護するために特に有用であるが、これらの方法は酸化シリコンの不動態化にも使用することができることに留意されたい。1つの特定の実施態様では、そのような材料を含む基板は、酸素含有反応剤(例えば、プラズマ中のO2、O3、SO2、またはCO2)を使用して不動態化され、これによりシリコン含有材料は、塩素系酸化スズエッチング化学物質(例えば、Cl2/BCl3化学物質)および水素系酸化スズエッチング化学物質(例えば、H2、HBr、炭化水素エッチング)に対してより耐性になる。したがって、いくつかの実施形態では、プロセスは、酸素含有反応剤による不動態化と、本明細書に記載の塩素系化学物質および/または水素系化学物質を使用する酸化スズエッチングとを交互に行うことを伴う。
一例では、提供された方法が実施された際に酸化スズの側壁損失を5nmから1nm未満に減少させ、底部のSiOC層損失を5nmから1nmに減少させることが可能であった。これらの方法を実施することによって、酸化スズフッティングが6nmから1nmに減少した。提供された方法は、酸化スズ不動態化層309の使用の有無にかかわらず実施することができることに留意されたい。
一例では、露出した非晶質シリコンエッチング停止層および露出した酸化スズ層(酸化スズスペーサの形成中)を含む基板が不動態化され、非晶質シリコンエッチング停止層を酸化スズエッチング化学物質に対してより耐性にした。不動態化は、基板をO2(200sccmで提供)からなるプロセスガスで形成されたプラズマに曝露することによって、40℃、5mTorrで実行された。プラズマは、1つの300mm基板あたり13.56MHzのRF周波数および400Wの電力を使用して形成された。不動態化後、プロセスチャンバをアルゴンでパージし、酸化スズは、基板を10sccm BCl3(10sccmで提供)、190sccm Cl2(190sccmで提供)、およびヘリウム(200sccmで提供)からなるプロセスガスで形成されたプラズマに曝露することによって、40℃、10mTorrでエッチングされた。プラズマは、1つの300mm基板あたり13.56MHzのRF周波数および400Wの電力を使用して形成された。次に、プロセスチャンバをパージし、酸化スズのスペーサフッティングが除去されるまで、不動態化ステップおよびエッチングステップ(各々に続いてパージ)を交互に繰り返した。
別の態様では、装置が提供され(例えば、本明細書に記載のエッチングツールのいずれか)、装置は、(a)処理チャンバであって、半導体基板用の支持体、および1つまたは複数の反応剤を処理チャンバに導入するための入口を有する処理チャンバと、(c)コントローラであって、(i)酸化スズエッチング化学物質に向けて半導体基板上のシリコン含有層の不動態化を引き起こし、(ii)半導体基板上に酸化スズのエッチングを引き起こし、(iii)(i)および(ii)を交互に繰り返すためのプログラム命令を含むコントローラとを含む。コントローラは、本明細書に記載の方法のいずれかを実施するようにさらにプログラムすることができる。
さらなる実施態様
本明細書で説明される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。典型的には、必須ではないが、そのような装置およびプロセスは、共通の製作施設で共に使用または実施される。膜のリソグラフィパターニングは、典型的には、以下のステップのいくつかまたはすべてを含み、各ステップが使用可能な多くのツールを用いて可能にされる:(1)スピンオンツールまたはスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布するステップ、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像してレジストを選択的に除去し、それによってレジストをパターニングするステップ、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することによって、下層の膜またはワークピースにレジストパターンを転写するステップ、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。

Claims (21)

  1. 半導体基板を処理する方法であって、
    (a)シリコン含有層の上に複数の突起フィーチャを有する半導体基板を設けることであって、前記半導体基板は、前記突起フィーチャにおける露出した酸化スズと、前記シリコン含有層の露出したシリコン含有材料とを含むことと、
    (b)前記露出したシリコン含有材料を酸化スズエッチング化学物質に向けて不動態化することと、
    (c)前記酸化スズエッチング化学物質を使用して前記露出した酸化スズをエッチングすることと、
    (d)動作(b)および(c)が交互に実施されるように動作(b)および(c)を繰り返すことと
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記露出したシリコン含有材料は、非晶質シリコン、酸化シリコン、SiON、SiOC、SiONC、SiC、およびSiNからなる群から選択される、方法。
  3. 請求項1に記載の方法であって、
    (c)は、前記半導体基板をCl2、BCl3、およびそれらの組み合わせからなる群から選択されるプラズマ活性化塩素含有反応剤に曝露することを含む、塩素系エッチング化学物質を使用して酸化スズをエッチングすることを含む、方法。
  4. 請求項1に記載の方法であって、
    (c)は、水素系エッチング化学物質を使用して前記酸化スズをエッチングすることを含み、その結果、水素化スズが形成される、方法。
  5. 請求項1に記載の方法であって、
    (c)は、前記半導体基板をH2、HBr、NH3、H2O、炭化水素、およびそれらの組み合わせからなる群から選択されるプラズマ活性化水素含有反応剤と接触させることによって、水素系エッチング化学物質を使用して前記酸化スズをエッチングすることを含む、方法。
  6. 請求項1に記載の方法であって、
    (c)は、前記半導体基板をCl2、BCl3、およびそれらの組み合わせからなる群から選択される塩素含有反応剤、ならびにヘリウム、ネオン、アルゴン、キセノン、およびそれらの組み合わせからなる群から選択される希釈ガスを含むプラズマ活性化プロセスガスに曝露することを含む、塩素系エッチング化学物質を使用して酸化スズをエッチングすることを含む、方法。
  7. 請求項1に記載の方法であって、
    (b)は、前記基板を酸素含有反応剤で処理することを含む、方法。
  8. 請求項1に記載の方法であって、
    (b)は、前記基板をプラズマ活性化酸素含有反応剤で処理することを含む、方法。
  9. 請求項1に記載の方法であって、
    (b)は、前記基板をO2、O3、SO2、およびCO2からなる群から選択されるガスを含むプロセスガスで形成されたプラズマで処理することを含む、方法。
  10. 請求項1に記載の方法であって、
    (b)は、(c)の前に実施される、方法。
  11. 請求項1に記載の方法であって、
    (c)は、(b)の前に実施される、方法。
  12. 請求項1に記載の方法であって、
    動作(b)および(c)は、2~50回実施される、方法。
  13. 請求項1に記載の方法であって、
    前記突起フィーチャは、水平面と、側壁とを備え、前記方法は、前記突起フィーチャの前記側壁における酸化スズフッティングを低減する、方法。
  14. 請求項1に記載の方法であって、
    前記シリコン含有材料は、非晶質シリコン、酸化シリコン、SiON、SiOC、SiONC、SiC、およびSiNからなる群から選択され、(b)における前記不動態化は、前記基板をプラズマ活性化酸素含有反応剤で処理することを含み、(c)は、前記半導体基板をCl2、BCl3、およびそれらの組み合わせからなる群から選択されるプラズマ活性化塩素含有反応剤に曝露することを含む、塩素系エッチング化学物質を使用して前記酸化スズをエッチングすることを含む、方法。
  15. 請求項1に記載の方法であって、
    (b)は、前記半導体基板を窒素含有反応剤で処理することを含む、方法。
  16. 半導体基板を処理するための装置であって、
    (a)処理チャンバであって、前記半導体基板用の支持体、および1つまたは複数の反応剤を前記処理チャンバに導入するための入口を有する処理チャンバと、
    (c)コントローラであって、
    (i)酸化スズエッチング化学物質に向けて半導体基板上のシリコン含有層の不動態化を引き起こし、
    (ii)半導体基板上に酸化スズのエッチングを引き起こし、
    (iii)(i)および(ii)を交互に繰り返す
    ためのプログラム命令を含むコントローラと
    を備える、装置。
  17. 請求項16に記載の装置であって、
    (i)は、プラズマ活性化酸素含有反応剤による前記半導体基板の処理を引き起こすことを含む、装置。
  18. 請求項16に記載の装置であって、
    (i)は、プラズマ活性化O2による前記半導体基板の処理を引き起こすことを含む、装置。
  19. 請求項16に記載の装置であって、
    (ii)は、塩素系化学物質を使用して前記酸化スズのエッチングを引き起こすことを含む、装置。
  20. 請求項16に記載の装置であって、
    (ii)は、水素系化学物質を使用して前記酸化スズのエッチングを引き起こすことを含む、装置。
  21. 請求項16に記載の装置であって、
    前記プログラム命令は、(i)および(ii)を2~50回交互に実施するための命令を含む、装置。
JP2021575911A 2019-06-27 2020-06-22 交互のエッチングプロセスおよび不動態化プロセス Active JP7320085B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023118733A JP2023134781A (ja) 2019-06-27 2023-07-21 交互のエッチングプロセスおよび不動態化プロセス

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962867797P 2019-06-27 2019-06-27
US62/867,797 2019-06-27
PCT/US2020/038996 WO2020263757A1 (en) 2019-06-27 2020-06-22 Alternating etch and passivation process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023118733A Division JP2023134781A (ja) 2019-06-27 2023-07-21 交互のエッチングプロセスおよび不動態化プロセス

Publications (3)

Publication Number Publication Date
JP2022539991A true JP2022539991A (ja) 2022-09-14
JPWO2020263757A5 JPWO2020263757A5 (ja) 2023-06-28
JP7320085B2 JP7320085B2 (ja) 2023-08-02

Family

ID=74061047

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021575911A Active JP7320085B2 (ja) 2019-06-27 2020-06-22 交互のエッチングプロセスおよび不動態化プロセス
JP2023118733A Pending JP2023134781A (ja) 2019-06-27 2023-07-21 交互のエッチングプロセスおよび不動態化プロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023118733A Pending JP2023134781A (ja) 2019-06-27 2023-07-21 交互のエッチングプロセスおよび不動態化プロセス

Country Status (6)

Country Link
US (3) US11551938B2 (ja)
JP (2) JP7320085B2 (ja)
KR (2) KR20240031441A (ja)
CN (2) CN114270479B (ja)
TW (1) TW202115787A (ja)
WO (1) WO2020263757A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
KR20210078569A (ko) * 2018-11-16 2021-06-28 램 리써치 코포레이션 기포 결함 감소
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
KR20230170872A (ko) * 2021-04-21 2023-12-19 램 리써치 코포레이션 주석 옥사이드 챔버 세정 시간 최소화
JP2022191787A (ja) * 2021-06-16 2022-12-28 キオクシア株式会社 半導体装置の製造方法

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778562A (en) 1984-08-13 1988-10-18 General Motors Corporation Reactive ion etching of tin oxide films using neutral reactant gas containing hydrogen
US4544444A (en) 1984-08-15 1985-10-01 General Motors Corporation Reactive ion etching of tin oxide films using silicon tetrachloride reactant gas
JPS62136579A (ja) 1985-12-09 1987-06-19 Victor Co Of Japan Ltd エツチング方法
JPS62179774A (ja) 1986-02-04 1987-08-06 Fujitsu Ltd イメ−ジセンサの製造方法
US4708766A (en) 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
JP2593305B2 (ja) 1987-02-02 1997-03-26 日本ペイント株式会社 ポジ型感光性樹脂組成物
JP2644758B2 (ja) 1987-07-22 1997-08-25 株式会社日立製作所 レジスト除去方法及び装置
JP3001891B2 (ja) 1987-10-01 2000-01-24 グンゼ株式会社 透明導電膜のエッチング方法及びその装置
JP3001894B2 (ja) 1988-09-30 2000-01-24 グンゼ株式会社 多層薄膜素子のエッチング方法及びその装置
FR2640809B1 (fr) 1988-12-19 1993-10-22 Chouan Yannick Procede de gravure d'une couche d'oxyde metallique et depot simultane d'un film de polymere, application de ce procede a la fabrication d'un transistor
US4878993A (en) 1988-12-22 1989-11-07 North American Philips Corporation Method of etching thin indium tin oxide films
JP2521815B2 (ja) 1989-08-17 1996-08-07 沖電気工業株式会社 透明導電膜のエッチング方法
US5032221A (en) 1990-05-07 1991-07-16 Eastman Kodak Company Etching indium tin oxide
US5171401A (en) 1990-06-04 1992-12-15 Eastman Kodak Company Plasma etching indium tin oxide
US5318664A (en) 1990-06-25 1994-06-07 General Electric Company Patterning of indium-tin oxide via selective reactive ion etching
JPH05267701A (ja) 1992-03-18 1993-10-15 Taiyo Yuden Co Ltd 酸化錫透明導電膜のパターニング方法
RU2053584C1 (ru) 1992-05-26 1996-01-27 Научно-исследовательский институт измерительных систем Способ формирования топологического рисунка пленки диоксида олова
US5286337A (en) 1993-01-25 1994-02-15 North American Philips Corporation Reactive ion etching or indium tin oxide
DE4337309A1 (de) 1993-08-26 1995-03-02 Leybold Ag Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
KR0135165B1 (ko) 1993-10-15 1998-04-22 윤정환 다층레지스트를 이용한 패턴형성방법
US5723366A (en) 1994-09-28 1998-03-03 Sanyo Electric Co. Ltd. Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
US5607602A (en) 1995-06-07 1997-03-04 Applied Komatsu Technology, Inc. High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas
US5667631A (en) 1996-06-28 1997-09-16 Lam Research Corporation Dry etching of transparent electrodes in a low pressure plasma reactor
US6036876A (en) 1997-06-25 2000-03-14 Applied Komatsu Technology, Inc. Dry-etching of indium and tin oxides
US20010008227A1 (en) 1997-08-08 2001-07-19 Mitsuru Sadamoto Dry etching method of metal oxide/photoresist film laminate
GB9726511D0 (en) 1997-12-13 1998-02-11 Philips Electronics Nv Thin film transistors and electronic devices comprising such
US6368978B1 (en) 1999-03-04 2002-04-09 Applied Materials, Inc. Hydrogen-free method of plasma etching indium tin oxide
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR100447263B1 (ko) 1999-12-30 2004-09-07 주식회사 하이닉스반도체 식각 폴리머를 이용한 반도체 소자의 제조방법
US6789910B2 (en) 2000-04-12 2004-09-14 Semiconductor Energy Laboratory, Co., Ltd. Illumination apparatus
AU2001259119A1 (en) 2000-04-25 2001-11-07 Tokyo Electron Limited Method and apparatus for plasma cleaning of workpieces
US6580475B2 (en) 2000-04-27 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6750394B2 (en) 2001-01-12 2004-06-15 Sharp Kabushiki Kaisha Thin-film solar cell and its manufacturing method
US6623653B2 (en) 2001-06-12 2003-09-23 Sharp Laboratories Of America, Inc. System and method for etching adjoining layers of silicon and indium tin oxide
JP2003068155A (ja) 2001-08-30 2003-03-07 Ulvac Japan Ltd 透明導電性膜のドライエッチング方法
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
JP4748986B2 (ja) 2002-11-01 2011-08-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100574952B1 (ko) 2003-11-04 2006-05-02 삼성전자주식회사 스플릿 게이트형 비휘발성 반도체 메모리 소자 제조방법
US7435610B2 (en) 2003-12-31 2008-10-14 Chung Yuan Christian University Fabrication of array pH sensitive EGFET and its readout circuit
CN1914695B (zh) 2004-02-09 2010-05-05 旭硝子株式会社 透明电极的制造方法
US7355672B2 (en) 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
EP1707952A1 (de) 2005-03-31 2006-10-04 Micronas GmbH Gassensitiver Feldeffekttransistor mit Luftspalt und Verfahren zu dessen Herstellung
DE102005031469A1 (de) 2005-07-04 2007-01-11 Merck Patent Gmbh Medium zur Ätzung von oxidischen, transparenten, leitfähigen Schichten
US7561247B2 (en) 2005-08-22 2009-07-14 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US7372058B2 (en) 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
KR20070076721A (ko) 2006-01-19 2007-07-25 삼성전자주식회사 웨이퍼의 박막 형성 공정 개선 방법
JP4609335B2 (ja) 2006-02-02 2011-01-12 富士電機システムズ株式会社 炭化珪素半導体基板のドライエッチング方法
US20080061030A1 (en) 2006-09-13 2008-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for patterning indium tin oxide films
US7833893B2 (en) 2007-07-10 2010-11-16 International Business Machines Corporation Method for forming conductive structures
KR100955265B1 (ko) 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
JP5642967B2 (ja) 2007-11-22 2014-12-17 関東化学株式会社 エッチング液組成物
CN101889101B (zh) 2007-12-06 2014-09-24 因特瓦克公司 用于基板的双面溅射蚀刻的系统和方法
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
FR2936651B1 (fr) 2008-09-30 2011-04-08 Commissariat Energie Atomique Dispositif optoelectronique organique et son procede d'encapsulation.
JP5446648B2 (ja) 2008-10-07 2014-03-19 信越化学工業株式会社 パターン形成方法
KR20100044029A (ko) 2008-10-21 2010-04-29 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR20100052598A (ko) 2008-11-11 2010-05-20 삼성전자주식회사 미세 패턴의 형성방법
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN103456794B (zh) 2008-12-19 2016-08-10 株式会社半导体能源研究所 晶体管的制造方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
CN102428544B (zh) 2009-05-20 2014-10-29 株式会社东芝 凹凸图案形成方法
US8163094B1 (en) 2009-07-23 2012-04-24 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method to improve indium bump bonding via indium oxide removal using a multi-step plasma process
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8435901B2 (en) 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
JP2012099517A (ja) 2010-10-29 2012-05-24 Sony Corp 半導体装置及び半導体装置の製造方法
KR101881181B1 (ko) 2010-11-04 2018-08-16 노벨러스 시스템즈, 인코포레이티드 탄탈륨의 이온 유도 원자층 증착
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US9111775B2 (en) 2011-01-28 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Silicon structure and manufacturing methods thereof and of capacitor including silicon structure
KR20120125102A (ko) 2011-05-06 2012-11-14 한국화학연구원 원자층 증착법을 이용한 주석산화물 박막의 제조방법
US9190316B2 (en) 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
TWI479663B (zh) 2011-12-22 2015-04-01 Au Optronics Corp 陣列基板及其製作方法
JP6015893B2 (ja) 2012-02-28 2016-10-26 国立研究開発法人産業技術総合研究所 薄膜トランジスタの製造方法
WO2013141232A1 (ja) 2012-03-23 2013-09-26 三洋電機株式会社 太陽電池及びその製造方法
US10861978B2 (en) 2012-04-02 2020-12-08 Samsung Display Co., Ltd. Display device
US8987047B2 (en) 2012-04-02 2015-03-24 Samsung Display Co., Ltd. Thin film transistor, thin film transistor array panel including the same, and method of manufacturing the same
US20150140726A1 (en) 2012-07-10 2015-05-21 Sharp Kabushiki Kaisha Method for manufacturing semiconductor device
WO2014039420A1 (en) 2012-09-04 2014-03-13 Matheson Tri-Gas, Inc. In-situ tco chamber clean
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
TWI539626B (zh) 2012-12-21 2016-06-21 鴻海精密工業股份有限公司 發光二極體及其製造方法
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
KR20150012540A (ko) 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치의 제조방법.
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9171703B2 (en) 2013-12-20 2015-10-27 Seagate Technology Llc Apparatus with sidewall protection for features
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150247238A1 (en) 2014-03-03 2015-09-03 Lam Research Corporation Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9209038B2 (en) 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US9285673B2 (en) 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9515156B2 (en) 2014-10-17 2016-12-06 Lam Research Corporation Air gap spacer integration for improved fin device performance
US9640371B2 (en) 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US11114742B2 (en) 2014-11-25 2021-09-07 View, Inc. Window antennas
TWI633596B (zh) 2015-01-14 2018-08-21 聯華電子股份有限公司 形成溝渠的方法
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
CN107567650A (zh) 2015-04-02 2018-01-09 东京毅力科创株式会社 使用双频电容耦合等离子体(ccp)以euv抗蚀剂进行的沟槽和孔图案化
US9941389B2 (en) * 2015-04-20 2018-04-10 Board Of Regents, The University Of Texas System Fabricating large area multi-tier nanostructures
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10049892B2 (en) 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
WO2016210299A1 (en) 2015-06-26 2016-12-29 Tokyo Electron Limited GAS PHASE ETCH WITH CONTROLLABLE ETCH SELECTIVITY OF Si-CONTAINING ARC OR SILICON OXYNITRIDE TO DIFFERENT FILMS OR MASKS
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
TWI661466B (zh) 2016-04-14 2019-06-01 日商東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US20220005694A1 (en) 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9997369B2 (en) 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
CN108321079B (zh) 2017-01-16 2021-02-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
JP2018160556A (ja) 2017-03-23 2018-10-11 三菱電機株式会社 薄膜トランジスタ基板、薄膜トランジスタ基板の製造方法、液晶表示装置、および薄膜トランジスタ
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
CN113675081A (zh) 2018-01-30 2021-11-19 朗姆研究公司 在图案化中的氧化锡心轴
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
WO2019216092A1 (ja) 2018-05-08 2019-11-14 ソニーセミコンダクタソリューションズ株式会社 酸化物半導体膜のエッチング方法および酸化物半導体加工物ならびに電子デバイス
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10867804B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
TW202212012A (zh) 2020-06-15 2022-04-01 美商蘭姆研究公司 在腔室清潔中的錫氧化物的移除

Also Published As

Publication number Publication date
JP7320085B2 (ja) 2023-08-02
JP2023134781A (ja) 2023-09-27
CN114270479A (zh) 2022-04-01
KR20220025045A (ko) 2022-03-03
US11551938B2 (en) 2023-01-10
WO2020263757A1 (en) 2020-12-30
TW202115787A (zh) 2021-04-16
US20220208551A1 (en) 2022-06-30
US20240087904A1 (en) 2024-03-14
KR102643106B1 (ko) 2024-02-29
US11848212B2 (en) 2023-12-19
CN115565867A (zh) 2023-01-03
CN114270479B (zh) 2022-10-11
KR20240031441A (ko) 2024-03-07
US20230197459A1 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
JP7267926B2 (ja) 半導体デバイス製造における酸化スズ膜
US20210265173A1 (en) Tin oxide mandrels in patterning
JP7320085B2 (ja) 交互のエッチングプロセスおよび不動態化プロセス
TWI838003B (zh) 半導體裝置製造中之氧化錫膜

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230620

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230620

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230721

R150 Certificate of patent or registration of utility model

Ref document number: 7320085

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150