KR20210078569A - 기포 결함 감소 - Google Patents

기포 결함 감소 Download PDF

Info

Publication number
KR20210078569A
KR20210078569A KR1020217018240A KR20217018240A KR20210078569A KR 20210078569 A KR20210078569 A KR 20210078569A KR 1020217018240 A KR1020217018240 A KR 1020217018240A KR 20217018240 A KR20217018240 A KR 20217018240A KR 20210078569 A KR20210078569 A KR 20210078569A
Authority
KR
South Korea
Prior art keywords
layer
light
exposing
substrate
etching
Prior art date
Application number
KR1020217018240A
Other languages
English (en)
Inventor
아킬 엔. 싱할
바트 얀 반 슈라벤디크
기리쉬 에이. 딕시트
데이비드 씨. 스미스
시바 크리슈난 카나카사바파티
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210078569A publication Critical patent/KR20210078569A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

일부 예들에서, 기판을 프로세싱하는 방법은 기판의 표면 상에 포토레지스트 (PR) 를 도포하는 단계, PR 상에 금속 옥사이드 (MO) 층을 증착하거나 에칭하기 전에 자외선 (UV) 광에 PR을 사전 노출시키는 단계, 및 UV 광에 PR을 사전 노출시키는 단계에 후속하여 PR 상에 MO 층을 증착하거나 에칭하는 단계를 포함한다.

Description

기포 결함 감소
우선권 주장
본 출원은 2018년 11월 16일에 출원된, 명칭이 "Bubble Defect Reduction"인, Singhal 등의 미국 특허 출원 번호 제 62/768,641 호의 우선권의 이점을 주장하고, 이는 전체가 본 명세서에 참조로서 인용된다.
본 개시는 일반적으로 기판 상의 포토레지스트 상에 금속 옥사이드 (MO) 층을 증착 및/또는 에칭 시 기포 결함 감소에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
ALD (Atomic Layer Deposition) 는 일 원자 단층 (mono-layer) 단위로 반도체 기판들 상에 형성된 박막들의 두께를 제어하는 능력을 갖는 증착 방법이다. PEALD (Plasma Enhanced ALD) 는 보다 낮은 온도들에서 개선된 막 특성들을 가능하게 하는 추가 향상이다. PEALD는 매우 제어된 방식으로 박막들을 형성하기 위해 필요한 화학 반응들을 생성하도록 RF-유도된 플라즈마에서 ALD와 유사한 화학적 전구체들을 사용한다. PEALD는 저온 프로세싱, 증착된 층들의 우수한 컨포멀성 (conformity) 및 두께 제어, 및 증착 전 (pre-deposition) 및 증착 후 (post-deposition) 인-시츄 (in-situ) 처리의 능력을 포함하여 많은 이점들을 제공한다.
다중 패터닝은 포토리소그래피의 한계들을 넘어 집적 회로들 (Integrated Circuits; ICs) 에 대한 피처 밀도를 향상시키기 위한 기법이다. 이러한 멀티-패터닝 기법들은 예를 들어, 피치 분할, 측벽 이미지 전사, 자가-정렬된 (self-aligned) 콘택트들, 비아 패터닝, 레이아웃 분할, 및 자가-정렬된 더블 또는 쿼드러플 (quadruple) 패터닝을 포함한다. 멀티-패터닝이 10 ㎚ 및 7 ㎚ 노드 반도체 프로세스들 및 그 이상을 위해 필요할 것으로 예상된다.
SAQP (Self-Aligned Quadruple Patterning) 는 종종 SADP (Self-Aligned Double Patterning) 로 지칭되는, 본질적으로 2 사이클들의 더블 패터닝 기법이다. SAQP 및 SADP 모두 그 위에 형성된 복수의 층들을 갖는 기판을 필요로 한다. 예를 들어, 기판 상의 층들은 하단 층으로부터 위로, AHM (Ashable Hard Mask) 또는 SOC (Spin On Carbon layer) 로 지칭된 제 1 탄소 막, 실리콘 옥사이드 (SiO2) 층, 제 2 탄소 (AHM/SOC) 층, 및 ARL (Anti-Reflective Layer) 을 포함할 수도 있다.
SADP는 기판 상에 스페이서 (spacer)-유사 피처들을 규정하기 위해 포토리소그래피 단계 및 부가적인 에칭 단계들을 사용한다. SADP 프로세스에서, 제 1 단계는 레지스트 재료 (또한 포토레지스트 또는 포토레지스트 층으로 불리고, 본 명세서에서 PR로 지칭됨) 를 증착하고, 이어서 포토리소그래피를 사용하여, 기판 상의 상단 ARL 층 상에 "맨드릴들 (mandrels)"을 패터닝하는 것이다. 맨드릴들은 통상적으로 포토리소그래피의 한계의 또는 한계에 가까운 피치를 갖는다. 맨드릴들은 다음에 실리콘 옥사이드 (SiO2) 와 같은 증착 층으로 커버된다. 후속하여 (a) SiO2 층의 수평 표면들 및 (b) PR을 제거하는 "스페이서 에칭"이 수행된다. 결과로서, SiO2의 수직 표면들만이 ARL 층 상에 남는다. 이들 수직 표면들은 종래의 포토리소그래피로 달성될 수 있는 것보다 미세한 피치를 갖는, "스페이서들"을 규정한다.
SAQP는 더블 패터닝 프로세스의 연속이다. SAQP를 사용하여, SiO2 스페이서들은 마스킹된 영역들 아래를 제외하고 하부 ARL 층 및 제 2 AHM 층을 제거하는 에칭 단계에서 마스크로서 사용된다. 그 후, SiO2 스페이서들이 제거되고, AHM 층에 형성된 제 2 맨드릴들을 남긴다. 이어서 또 다른 SiO2 층이 증착되고, 뒤이어 또 다른 "스페이서 에칭"이 수행되어, (a) SiO2 층의 수평 부분들 및 (b) 제 2 맨드릴들을 제거한다. 결과는 아래에 놓인 SiO2 층 상에 형성된 SiO2 스페이서들을 갖는 구조체이다. SAQP 프로세스를 사용하여, 제 2 SiO2 스페이서들의 피치는 제 1 스페이서들보다 미세하고, 종래의 리소그래피의 한계들을 상당히 넘어선다.
멀티-패터닝이 상당한 이점들을 제공하고 종래의 포토리소그래피의 유용성을 차세대 집적 회로로 확장하는 것을 돕지만, 다양한 프로세스들은 이들의 한계들을 갖는다. 특히, 멀티-패터닝은 스페이서들을 형성하기 위해 수많은 증착, 포토리소그래피, 및 에칭 단계들을 필요로 한다. 스페이서들의 피치가 보다 미세할수록, 일반적으로 보다 많은 포토리소그래피 에칭 사이클들이 수반된다. 이들 부가적인 단계들은 반도체 제조의 비용 및 복잡성을 상당히 부가한다.
반도체 제조업체들이 점점 더 노드들을 축소하기 위해 SAQP 및 SADP를 채택하는 것을 모색함에 따라, 그들은 또한 이 기술 (technology) 을 채택하는 비용을 감소시키는 방법들을 모색하고 있다. 그렇게 하는 일 잠재적인 방식은 스페이서들을 형성하고 PR 층의 상단부 직상에 이들을 증착하도록 MO를 채택하는 것이다. MO는 종래의 실리콘 다이옥사이드보다 단단하고 보다 높은 모듈러스를 갖고, 보다 얇은 스페이서들이 생성되고 사용되게 하고, 또한 제 2 맨드릴로서 제 2 역할로 사용될 수 있는 이점을 제공할 수 있다. 처음에 스페이서로서 기능하도록 MO 스페이서를 사용하고 그 후 이어서 맨드릴로서 사용하는 이 접근법은 스페이서-온-스페이서 (spacer-on-spacer) 기술이라고 한다. 필요한 SAQP 성능을 달성하는 스페이서-온-스페이서 기술의 특정한 방법들은 이들이 많은 증착, 리소그래피, 및 에칭 단계들을 제거할 수 있고, 따라서 이 기술의 비용 이점에 대한 수지가 맞을 수 있기 때문에 비용 면에서 매우 유리할 수 있다.
이 스페이서-온-스페이서 기술의 일부로서, 특정한 MO들이 PR 층의 상단부 직상에 증착될 스페이서 재료로서 사용될 수 있다. 일부 예들은 PR 재료에 손상을 유발하지 않고 수행될 수 있다는 것을 입증했다. 그러나 일부 예들에서, 특히 특정한 웨이퍼들에 대해 스페이서 에칭을 수행할 때, 상당한 결함들이 발생할 수 있다. 일 문제는 원치 않은 기포 결함들의 생성과 관련된다. 본 개시는 적어도 이 문제를 해결하려고 한다.
일부 실시 예들은 첨부한 도면들의 도면들에 제한이 아니라 예로서 예시된다.
도 1은 일부 예들에 따른, 기포 결함들을 도시하는 개략도이다.
도 2는 예시적인 실시 예에 따른, 방법의 동작들을 예시하는 블록도이다.
이하의 기술은 본 개시의 예시적인 실시 예들을 구현하는 시스템들, 방법들, 및 기법들을 포함한다. 이하의 기술에서, 설명의 목적들을 위해, 예시적인 실시 예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 그러나, 당업자들에게 본 발명 주제가 이들 구체적 상세들 없이 실시될 수도 있다는 것이 분명할 것이다.
본 특허 문헌의 개시의 부분은 저작권 보호를 받는 자료를 포함한다. 저작권자는 특허 문헌 또는 특허 개시가 특허청 특허 서류들 또는 기록들에 나타나기 때문에, 특허 문헌 또는 특허 개시의 누군가에 의한 복사 (facsimile reproduction) 를 반대할 수 없지만, 모든 저작권들에 대한 권리들을 보유한다. 이하의 공지는 본 문헌의 일부를 형성하는 이하 및 도면들에 기술된 바와 같은 소프트웨어 및 데이터에 적용된다: Lam Research Corporation 2018-2019, All Rights Reserved.
상기 언급된 바와 같이, 기포 결함 형성은 특정한 반도체 제조 동작들에서 문제가 될 수 있다. 실리콘 옥사이드 (SiO2) 스페이서들을 사용하는 현재의 제조 기법들은 축소되는 기술 노드들을 수용하기 위해 규모가 축소될 때 때때로 제한들을 갖는다. MO 스페이서들을 수반하는 다른 기법들은 일부 예들에서 확장성을 허용하지만, 그럼에도 불구하고 증착 페이즈의 다운스트림의 MO 에칭 동안 PR 층에 나타나는 기포 결함들의 형성과 관련된 문제들을 제시한다. 에칭 동안 이러한 결함들의 존재는 그렇지 않으면 유용한 MO들, 예컨대 주석 옥사이드 (SnO2) 의 사용이 보다 작은 규모의 동작들에서 또는 PR 층 상의 맨드릴 (mandrel) 로서 기능하는 제 2 역할로 사용되는 것을 제한하거나 방지할 수 있다.
이론에 얽매이지 않고, 기포 결함 형성은 건식 에칭 화학반응 동안 MO 층과 PR 사이의 계면에서 MO 층에 의해 방출된 전자들과 플라즈마 가스에 존재하는 자외선 (UV) 광 또는 방사선의 상호 작용에 의해 유발될 수도 있다고 여겨진다. 특정한 예들에서, PR 층은 경화 프로세스에서 UV의 인가 시 연화되는 포지티브 톤 PR일 수도 있다. MO가 PR 층 상에 존재할 때, MO 층으로부터의 2 차 전자 방출은 포지티브 톤 PR과 상호 작용하고 인가된 UV의 작용 하에 이를 연화하는 것으로 여겨진다. 기포 결함 형성은 주석 옥사이드와 같은 고-방출 MO를 사용할 때 이 재료가 매우 높은 2 차 전자 방출 계수를 갖기 때문에 특히 상당할 수 있다. PR 층의 연화는 재료가 분해됨에 따라 가스 배출 (outgassing) 을 초래하고, 이는 경화 동안 기포 결함들을 형성한다고 여겨진다. 3 개의 예시적인 판유리들 (panes) (100) 에 나타나는 기포 결함들의 개략적인 사진들은 첨부된 도면들의 도 1에서 볼 수 있다.
일부 예들에서, UV 사전 경화 또는 사전 노출 동작이 수행된다. 도 2를 참조하면, 예시적인 방법 (200) 은 PR을 포함하는 실리콘 웨이퍼 (Si) 와 같은 기판 상의 사전 경화 동작 또는 사전 노출 동작의 수행을 포함한다. PR을 포함하는 웨이퍼가 MO 층이 PR 상에 증착되기 전에 UV에 노출된다. 사전 경화 동작 또는 사전 노출 동작은 상기 기술된 바와 같이 SAQP 프로세스, SADP 프로세스, 또는 스페이서-온-스페이서 (spacer-on-spacer) 프로세스 동안, 또는 이에 대한 보충으로서 일부 예들에서 수행될 수도 있다. 일부 예들에서, PR은 PR 상으로 MO 층의 증착 전에 UV 광 또는 복사에 노출되고, MO 층의 증착 동안 또는 증착 후에 플라즈마에 의한 UV 노출에 영향을 받지 않도록 홀딩한다. 이 방법을 사용하는 일부 테스트된 예들은 도 2의 예시적인 판유리 (202) 에 도시된 바와 같이, 발생하는 기포 결함 형성을 완전히 또는 적어도 실질적으로 제거한다.
예비 또는 사전 경화 UV 노출은 예를 들어, UV 소스를 갖는 기존 툴에서, UV 소스를 구비한 외부 챔버에서, 또는 플라즈마 소스를 갖는 웨이퍼-프로세싱 챔버에서 수행될 수도 있다. 다른 배치들이 가능하다. 적합한 플라즈마 소스가 사전 경화 목적들을 위해 UV를 생성하기에 충분한 양의 헬륨, 아르곤, 또는 질소를 포함할 수도 있다.
상기 예들은 단지 예시적이고, 제한적인 것으로 간주되지 않아야 한다. 따라서, 다른 예들에서, 기포 결함 감소는 반도체 제조 동작에서 다른 페이즈들 동안, 또는 이와 관련하여 수행될 수도 있다. 일부 예들에서, 사전 경화 동작 또는 사전 노출 동작을 포함하는 기포 결함 감소는 예를 들어, 증착 페이즈, 포토리소그래피 페이즈, 에칭 페이즈, 또는 플라즈마의 사용을 수반하는 다른 웨이퍼 프로세싱 페이즈 동안 또는 이와 관련하여 수행된다. 일부 예들에서, 사전 경화 동작 또는 사전 노출 동작을 포함하는 기포 결함 감소는 페이즈들의 조합 동안 또는 이와 관련하여 수행된다.
또한, 상기 논의된 일부 예들이 예시적인 MO 층으로서 주석 옥사이드를 언급하지만, 본 개시는 다른 타입들의 막들 또는 층들에 대해 채용될 수도 있고, 이들 중 일부는 MO들, 금속 나이트라이드들, 금속 카바이드들, 금속, 등을 포함할 수도 있다. 추가의 예시적인 층들 또는 막들은 또한 금속 또는 비금속 재료의 유전체 재료를 포함한다. 도 2와 관련하여 기술된 예시적인 웨이퍼는 실리콘 재료 (Si) 의 층 또는 막을 포함한다. 예시된 Si, PR 및 MO 층들을 구성하거나, 이에 포함되는 다른 컴포넌트들 또는 재료들이 가능하다.
따라서, 일부 실시 예들은 다음의 예들 중 하나 이상을 포함할 수도 있다.
1. 기판을 프로세싱하는 방법에 있어서, 방법은 기판의 표면 상에 PR을 도포하는 단계; PR 상에 MO 층을 증착하거나 에칭하기 전에 UV 광에 PR을 사전 노출시키는 단계; 및 UV 광에 PR을 사전 노출시키는 단계에 후속하여 PR 상에 MO 층을 증착하거나 에칭하는 단계를 포함한다.
2. 예 1의 방법에 있어서, PR 상에 MO 층을 증착하거나 에칭하는 단계는 UV 광의 사전 노출 직후에 수행된다.
3. 예 1 또는 예 2의 방법에 있어서, 기판은 실리콘 웨이퍼를 포함한다.
4. 예 1 내지 예 3 중 어느 하나의 방법에 있어서, PR을 UV 광에 사전 노출시키는 단계는 자가-정렬된 쿼드러플 패터닝 (Self-Aligned Quadruple Patterning; SAQP) 프로세스 동안, 또는 이에 대한 보충으로서 수행된다.
5. 예 1 내지 예 4 중 어느 하나의 방법에 있어서, PR을 UV 광에 사전 노출시키는 단계는 자가-정렬된 더블 패터닝 (Self-Aligned Double Patterning; SADP) 프로세스 동안, 또는 이에 대한 보충으로서 수행된다.
6. 예 1 내지 예 5 중 어느 하나의 방법에 있어서, PR을 UV 광에 사전 노출시키는 단계는 스페이서-온-스페이서 프로세스 동안, 또는 이에 대한 보충으로서 수행된다.
7. 예 1 내지 예 6 중 어느 하나의 방법에 있어서, PR 상에 MO 층을 증착하거나 에칭하는 단계 동안 또는 후에 UV 노출에 영향을 받지 않는 PR을 홀딩하는 단계를 더 포함한다.
8. 예 1 내지 예 7 중 어느 하나의 방법에 있어서, UV 노출에 영향을 받지 않는 PR을 홀딩하는 단계는 플라즈마에 의한 UV 노출에 영향을 받지 않는 PR을 홀딩하는 단계를 포함한다.
9. 예 1 내지 예 8 중 어느 하나의 방법에 있어서, 나중에 PR 상에 MO를 증착하거나 에칭하는 단계 전에 PR을 UV 광에 사전 노출하는 단계는 UV 광원을 갖는 기존 기판 프로세싱 툴에서 수행된다.
10. 예 1 내지 예 9 중 어느 하나의 방법에 있어서, 나중에 PR 상에 MO를 증착하거나 에칭하는 단계 전에 PR을 UV 광에 사전 노출하는 단계는 UV 광원을 구비한 외부 챔버에서 수행된다.
11. 예 1 내지 예 10 중 어느 하나의 방법에 있어서, 기판은 기판 프로세싱 툴에서 프로세싱되고, 기판 프로세싱 툴은 플라즈마를 생성하기 위한 플라즈마 소스를 포함하고, 플라즈마는 UV 광에 PR을 사전 노출하기 위한 UV 광을 생성하기에 충분한 양의 구성 성분을 포함한다.
12. 예 11의 방법에 있어서, 플라즈마 구성 성분은 헬륨, 아르곤, 및 질소를 포함하는 하나 이상의 구성 성분들을 포함한다.
13. 예 1 내지 예 12 중 어느 하나의 방법에 있어서, MO 층은 주석 옥사이드를 포함한다.
14. 기판을 프로세싱하는 방법에 있어서, 방법은 기판의 표면 상에 PR을 도포하는 단계; PR 상에 층을 증착하거나 에칭하기 전에 UV 광에 PR을 사전 노출시키는 단계; 및 UV 광에 PR을 사전 노출시키는 단계에 후속하여 PR 상에 층을 증착하거나 에칭하는 단계를 포함한다.
15. 예 14의 방법에 있어서, 층은 MO 층, 금속 나이트라이드 층, 금속 카바이드 층, 및 금속 층 중 하나 이상을 포함한다.
다양한 실시 예들 및 예들이 특정한 예시적인 실시 예들 및 예들을 참조하여 기술되었지만, 다양한 수정들 및 변화들이 본 개시의 보다 넓은 범위로부터 벗어나지 않고 이들 실시 예들로 이루어질 수도 있다는 것이 분명할 것이다. 따라서, 명세서 및 도면들은 제한적인 의미가 아니라 예시로서 간주된다. 이의 일부를 형성하는 첨부 도면들은 제한이 아닌 예시로서, 주제가 실시될 수도 있는 특정한 실시 예들을 도시한다. 예시된 실시 예들은 당업자들로 하여금 본 명세서에 개시된 교시들을 실시하게 하도록 충분히 상세히 기술된다. 다른 실시 예들은 구조 및 논리적 대용물들 및 변화들이 본 개시의 범위로부터 벗어나지 않고 이루어질 수도 있도록, 이로부터 활용되고 도출될 수도 있다. 이 상세한 기술은 따라서 제한하는 의미로 생각되지 않고, 다양한 실시 예들의 범위는 첨부된 청구항들로 인정되는 등가물들의 전체 범위와 함께, 첨부된 청구항들에 의해서만 규정된다.
본 발명의 주제의 이러한 실시 예들은, 단순히 편의성을 위해 그리고 임의의 단일 발명 또는 실제로 2 개 이상이 개시된다면 발명의 개념으로 본 출원의 범위를 자의적으로 제한하는 것을 의도하지 않고, 용어 "발명"으로 개별적으로 그리고/또는 집합적으로 지칭될 수도 있다. 따라서, 특정한 실시 예들이 본 명세서에 예시되고 기술되었지만, 동일한 목적을 달성하도록 계산된 임의의 배열이 도시된 특정한 실시 예들을 대체할 수도 있다는 것이 인식되어야 한다. 본 개시는 다양한 실시 예들의 임의의 그리고 모든 변형들 또는 적응들을 커버하도록 의도된다. 상기 실시 예들 및 본 명세서에 구체적으로 기술되지 않은 다른 실시 예들의 조합들이, 상기 기술을 검토하면 당업자들에게 자명할 것이다.

Claims (15)

  1. 기판을 프로세싱하는 방법에 있어서,
    기판의 표면 상에 포토레지스트 (PR) 를 도포하는 단계;
    상기 PR 상에 금속 옥사이드 (MO) 층을 증착하거나 에칭하기 전에 자외선 (UV) 광에 상기 PR을 사전 노출시키는 단계; 및
    상기 UV 광에 상기 PR을 사전 노출시키는 단계에 후속하여 상기 PR 상에 MO 층을 증착하거나 에칭하는 단계를 포함하는, 기판 프로세싱 방법.
  2. 제 1 항에 있어서,
    상기 PR 상에 상기 MO 층을 증착하거나 에칭하는 단계는 상기 UV 광의 사전 노출 직후에 수행되는, 기판 프로세싱 방법.
  3. 제 1 항에 있어서,
    상기 기판은 실리콘 웨이퍼를 포함하는, 기판 프로세싱 방법.
  4. 제 1 항에 있어서,
    상기 PR을 상기 UV 광에 사전 노출시키는 단계는 자가-정렬된 쿼드러플 패터닝 (Self-Aligned Quadruple Patterning; SAQP) 프로세스 동안, 또는 이에 대한 보충으로서 수행되는, 기판 프로세싱 방법.
  5. 제 1 항에 있어서,
    상기 PR을 상기 UV 광에 사전 노출시키는 단계는 자가-정렬된 더블 패터닝 (Self-Aligned Double Patterning; SADP) 프로세스 동안, 또는 이에 대한 보충으로서 수행되는, 기판 프로세싱 방법.
  6. 제 1 항에 있어서,
    상기 PR을 상기 UV 광에 사전 노출시키는 단계는 스페이서-온-스페이서 (spacer-on-spacer) 프로세스 동안, 또는 이에 대한 보충으로서 수행되는, 기판 프로세싱 방법.
  7. 제 1 항에 있어서,
    상기 PR 상에 상기 MO 층을 증착하거나 에칭하는 단계 동안 또는 후에 UV 노출에 영향을 받지 않는 상기 PR을 홀딩하는 단계를 더 포함하는, 기판 프로세싱 방법.
  8. 제 7 항에 있어서,
    상기 UV 노출에 영향을 받지 않는 상기 PR을 홀딩하는 단계는 플라즈마에 의한 UV 노출에 영향을 받지 않는 상기 PR을 홀딩하는 단계를 포함하는, 기판 프로세싱 방법.
  9. 제 1 항에 있어서,
    나중에 상기 PR 상에 MO를 증착하거나 에칭하는 단계 전에 상기 PR을 UV 광에 사전 노출하는 단계는 UV 광원을 갖는 기존 기판 프로세싱 툴에서 수행되는, 기판 프로세싱 방법.
  10. 제 1 항에 있어서,
    나중에 상기 PR 상에 MO를 증착하거나 에칭하는 단계 전에 상기 PR을 UV 광에 사전 노출하는 단계는 UV 광원을 구비한 외부 챔버에서 수행되는, 기판 프로세싱 방법.
  11. 제 1 항에 있어서,
    상기 기판은 기판 프로세싱 툴에서 프로세싱되고, 상기 기판 프로세싱 툴은 플라즈마를 생성하기 위한 플라즈마 소스를 포함하고, 상기 플라즈마는 상기 UV 광에 상기 PR을 사전 노출하기 위한 UV 광을 생성하기에 충분한 양의 구성 성분을 포함하는, 기판 프로세싱 방법.
  12. 제 11 항에 있어서,
    상기 플라즈마 구성 성분은 헬륨, 아르곤, 및 질소를 포함하는 하나 이상의 구성 성분들을 포함하는, 기판 프로세싱 방법.
  13. 제 1 항에 있어서,
    상기 MO 층은 주석 옥사이드를 포함하는, 기판 프로세싱 방법.
  14. 기판을 프로세싱하는 방법에 있어서,
    기판의 표면 상에 PR을 도포하는 단계;
    상기 PR 상에 층을 증착하거나 에칭하기 전에 UV 광에 상기 PR을 사전 노출시키는 단계; 및
    상기 UV 광에 상기 PR을 사전 노출시키는 단계에 후속하여 상기 PR 상에 층을 증착하거나 에칭하는 단계를 포함하는, 기판 프로세싱 방법.
  15. 제 14 항에 있어서,
    상기 층은 MO 층, 금속 나이트라이드 층, 금속 카바이드 층, 및 금속 층 중 하나 이상을 포함하는, 기판 프로세싱 방법.
KR1020217018240A 2018-11-16 2019-11-15 기포 결함 감소 KR20210078569A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862768641P 2018-11-16 2018-11-16
US62/768,641 2018-11-16
PCT/US2019/061891 WO2020102783A1 (en) 2018-11-16 2019-11-15 Bubble defect reduction

Publications (1)

Publication Number Publication Date
KR20210078569A true KR20210078569A (ko) 2021-06-28

Family

ID=70731725

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217018240A KR20210078569A (ko) 2018-11-16 2019-11-15 기포 결함 감소

Country Status (4)

Country Link
US (1) US20220004103A1 (ko)
KR (1) KR20210078569A (ko)
CN (1) CN113016053A (ko)
WO (1) WO2020102783A1 (ko)

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1054748A (en) * 1912-09-05 1913-03-04 Howard D Cook Feed device for rolling-mills.
JP2712407B2 (ja) * 1988-10-28 1998-02-10 日本電気株式会社 2層フォトレジストを用いた微細パターンの形成方法
US4997746A (en) * 1988-11-22 1991-03-05 Greco Nancy A Method of forming conductive lines and studs
JPH03183134A (ja) * 1989-12-12 1991-08-09 Sony Corp 薄膜パターンの形成方法
US5108870A (en) * 1990-08-02 1992-04-28 Morton International, Inc. Positive-working photoresist composition containing purified broadband dye and process of using
US6074893A (en) * 1993-09-27 2000-06-13 Sumitomo Metal Industries, Ltd. Process for forming fine thick-film conductor patterns
US5394735A (en) * 1993-12-20 1995-03-07 National Science Council Gas sensor
US5679610A (en) * 1994-12-15 1997-10-21 Kabushiki Kaisha Toshiba Method of planarizing a semiconductor workpiece surface
KR100216732B1 (ko) * 1996-05-17 1999-09-01 김충환 알루미늄 박막의 식각방법
US5962192A (en) * 1996-06-19 1999-10-05 Printing Developments, Inc. Photoresists and method for making printing plates
US5804487A (en) * 1996-07-10 1998-09-08 Trw Inc. Method of fabricating high βHBT devices
JP2002040251A (ja) * 2000-07-27 2002-02-06 Matsushita Electric Ind Co Ltd 機能性有機薄膜、その製造方法およびその製造装置、ならびに液晶配向膜、その製造方法およびその製造装置
JP3895224B2 (ja) * 2001-12-03 2007-03-22 東京応化工業株式会社 ポジ型レジスト組成物及びそれを用いたレジストパターン形成方法
JP2004020820A (ja) * 2002-06-14 2004-01-22 Nec Kagoshima Ltd ドライフィルムレジスト剥離装置および基板搬送機構
JP2004354433A (ja) * 2003-05-27 2004-12-16 Toppan Printing Co Ltd 液晶表示装置用カラーフィルタ側パネル基板の製造方法および液晶表示装置用カラーフィルタ側パネル基板およびカラーフィルタ印刷装置
KR100669344B1 (ko) * 2004-11-18 2007-01-16 삼성전자주식회사 건식 식각 내성이 우수한 포토레지스트 형성 방법 및 이를이용한 반도체 소자 형성 방법
JP2006162841A (ja) * 2004-12-06 2006-06-22 Konica Minolta Holdings Inc 液晶表示素子および液晶表示素子の製造方法
KR20060081454A (ko) * 2005-01-07 2006-07-13 삼성전자주식회사 포토레지스트 식각 내성 강화방법
JP5163899B2 (ja) * 2006-06-15 2013-03-13 日産化学工業株式会社 環構造を持つ高分子化合物を含有するポジ型感光性樹脂組成物
JP4805283B2 (ja) * 2007-03-22 2011-11-02 信越化学工業株式会社 マイクロアレイ作製用基板の製造方法
CN100485975C (zh) * 2007-03-29 2009-05-06 上海大学 带有电容栅的共面栅阳极碲锌镉探测器及其制备方法
US7935464B2 (en) * 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US8124319B2 (en) * 2010-04-12 2012-02-28 Nanya Technology Corp. Semiconductor lithography process
US9892917B2 (en) * 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5821816B2 (ja) * 2012-09-13 2015-11-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
CN103794475B (zh) * 2012-10-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 自对准三重图形化方法
US9437443B2 (en) * 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
JP2015189155A (ja) * 2014-03-28 2015-11-02 富士フイルム株式会社 機能性積層材料、機能性積層材料の製造方法、および機能性積層材料を含む有機電界発光装置、ならびに重合性組成物
US9740104B2 (en) * 2014-05-02 2017-08-22 Lam Research Corporation Plasma dry strip pretreatment to enhance ion implanted resist removal
JP6650517B2 (ja) * 2016-06-02 2020-02-19 富士フイルム株式会社 硬化膜の製造方法、積層体の製造方法および半導体素子の製造方法
US9892946B1 (en) * 2016-07-27 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Processing apparatus and method
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
WO2018181049A1 (ja) * 2017-03-30 2018-10-04 株式会社クオルテック El表示パネルの製造方法、el表示パネルの製造装置、el表示パネル、およびel表示装置
US11355353B2 (en) * 2018-01-30 2022-06-07 Lam Research Corporation Tin oxide mandrels in patterning
JP7320085B2 (ja) * 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス

Also Published As

Publication number Publication date
WO2020102783A1 (en) 2020-05-22
US20220004103A1 (en) 2022-01-06
CN113016053A (zh) 2021-06-22

Similar Documents

Publication Publication Date Title
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US20200199751A1 (en) Deposition tool and method for depositing metal oxide films on organic materials
US6670284B2 (en) Method of decontaminating process chambers, methods of reducing defects in anti-reflective coatings, and resulting semiconductor structures
US11437238B2 (en) Patterning scheme to improve EUV resist and hard mask selectivity
US20070284690A1 (en) Etch features with reduced line edge roughness
TW201830517A (zh) 用於多重圖案化程序之硬遮罩過蝕刻的調節方法
US20050191863A1 (en) Semiconductor device contamination reduction in a fluorinated oxide deposition process
WO2019241012A1 (en) Conformal carbon film deposition
JP2000091318A (ja) 半導体装置の製造方法
TW202045749A (zh) 基板處理方法
KR20210078569A (ko) 기포 결함 감소
CN110858541B (zh) 半导体结构及其形成方法
JP2004503927A (ja) 微細パターンとワイドパターンとが混在する集積回路ステージを形成するための方法
KR20220044085A (ko) 금속 함유 레지스트 층을 위한 인시츄 퇴적 및 고밀화 처리
KR20220156881A (ko) 마이크로 전자 소재의 공정 시에 euv 역 패터닝을 위한 방법
US8753930B2 (en) Method of manufacturing semiconductor device including ashing of photoresist with deuterium or tritium gas
KR100329745B1 (ko) 알루미나를 사용한 게이트 절연막 형성방법
US7022622B2 (en) Method and structure to improve properties of tunable antireflective coatings
KR100800165B1 (ko) 반도체 소자의 제조방법
US20200272054A1 (en) Method of Line Roughness Improvement by Plasma Selective Deposition
JP2772416B2 (ja) 成膜方法
Kruger et al. Trilayer resist
JP2008182001A (ja) 半導体装置の製造方法
KR970048996A (ko) 반도체 소자의 미세패턴 형성방법
JPH05217960A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination