JP2023553273A - 半導体デバイス形成のための下部層膜 - Google Patents

半導体デバイス形成のための下部層膜 Download PDF

Info

Publication number
JP2023553273A
JP2023553273A JP2023530169A JP2023530169A JP2023553273A JP 2023553273 A JP2023553273 A JP 2023553273A JP 2023530169 A JP2023530169 A JP 2023530169A JP 2023530169 A JP2023530169 A JP 2023530169A JP 2023553273 A JP2023553273 A JP 2023553273A
Authority
JP
Japan
Prior art keywords
etch process
layer
etching gas
gas
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023530169A
Other languages
English (en)
Inventor
ジン リー,
ガブリエラ アルヴァ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023553273A publication Critical patent/JP2023553273A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

構造は、基板の上に形成された下部層と、下部層の上に形成されたマンドレル層と、マンドレル層の上に形成されたスペーサ層とを含む。下部層は第1の材料を含み、また、スペーサ層は第2の材料を含む。第1の材料は、スペーサ層の一部を除去するための第1のエッチプロセス、およびマンドレル層を除去するための第2のエッチプロセスに使用されるエッチングガスに対して耐性である。【選択図】図4E

Description

本開示の例は、一般に、半導体デバイスの形成に関する。詳細には、本開示の実施形態は、欠陥が少ないナノ構造を形成するための方法を提供する。
集積回路(IC)またはチップの製造では、チップの異なる層を表すパターンがチップ設計者によって作り出される。光リソグラフィによる製造プロセスの間、個々の半導体層の設計を半導体基板の上に転写するために、一連のフォトマスクがこれらのパターンから作り出される。次に、これらのマスクを使用して、層毎の回路パターンが湿式またはドライエッチングによって半導体基板の上に転写される。これらの層は、一連のリソグラフィおよびエッチプロセスを使用して積み上げられ、個々の完成したチップを備えるナノ構造に変換される。
しかしながら湿式またはドライエッチングプロセスでは、層の真下に配置される下部層は、半導体層をパターニングするためのエッチプロセスにおける十分に低速のエッチング速度を有することができず、また、半導体層と共にエッチングされることになり得る。これは、下部層の中に凹部を形成し、結果として得られるチップに欠陥をもたらし、延いてはデバイス故障をもたらすことになり得る。
したがって、層をパターニングするためのエッチプロセスにおける実質的に低エッチング速度を有する下部層、およびこのような下部層を使用してナノ構造を形成するための方法が必要である。
本開示の実施形態は構造を提供する。構造は、基板の上に形成された下部層と、下部層の上に形成されたマンドレル層と、マンドレル層の上に形成されたスペーサ層とを含む。下部層は第1の材料を含み、また、スペーサ層は第2の材料を含む。第1の材料は、スペーサ層の一部を除去するための第1のエッチプロセス、およびマンドレルを除去するための第2のエッチプロセスに使用されるエッチングガスに対して耐性である。
本開示の実施形態は、構造の形成に使用するための下部層を同じく提供する。下部層は基板の上に形成された第1の材料を含み、第1の材料は、第1の材料の上に形成された第2の材料の一部を除去するための第1のエッチプロセスに使用されるエッチングガスに対して耐性である。
本開示の実施形態は、基板の上に構造を形成するための方法をさらに提供する。方法は、マンドレル層の上、およびマンドレル層から露出されている下部層の表面にスペーサ層を共形で堆積させることを含む、堆積プロセスを実施することと、マンドレル層の側壁からスペーサ層を除去することなく、マンドレル層の頂面および下部層の表面からスペーサ層の一部を除去することを含む、第1のエッチプロセスを実施することと、スペーサ層を除去することなくマンドレル層を除去するための第2のエッチプロセスを実施することとを含む。下部層には、第1のエッチおよび第2のエッチに起因する実質的な凹部は存在しないか、または凹部は存在しない。
したがって本開示の実施形態の上で示した特徴を詳細に理解することができる方法においては、上で簡単に要約した本開示のより特定の説明には場合によっては実施形態が参照されており、それらの実施形態のうちのいくつかは添付の図面に示されている。しかしながら添付の図面は単に本開示の典型的な実施形態を示したものにすぎず、本開示は他の同様に有効な実施形態を許容することができ、したがって添付の図面を本開示の範囲を制限するものと見なしてはならないことに留意されたい。
一実施形態による堆積プロセスを実施するために利用することができる処理チャンバを示す図である。 一実施形態によるパターニングプロセスを実施するために利用することができる処理チャンバを示す図である。 一実施形態によるナノ構造400を製造するための方法300の流れ図である。 一実施形態によるナノ構造の一部の横断面図である。 一実施形態によるナノ構造の一部の横断面図である。 一実施形態によるナノ構造の一部の横断面図である。 一実施形態によるナノ構造の一部の横断面図である。 一実施形態によるナノ構造の一部の横断面図である。 一実施形態によるナノ構造の一部の横断面図である。 一実施形態によるナノ構造の一部の横断面図である。
理解を容易にするために、可能である場合、図に共通の全く同じ要素を示すために全く同じ参照数表示が使用されている。1つの実施形態で開示されている要素は、明確に詳述することなく他の実施形態に有利に利用され得ることが企図されている。
本明細書において説明されている実施形態は、下部層の上に形成された層の一部を除去するためのエッチプロセスにおいて低エッチング速度を有する下部層のための材料、およびこのような下部層を使用してナノ構造を形成する方法を提供する。エッチングされる層は、炭素含有材料、窒化ケイ素、ドープドシリコン含有材料または酸化ケイ素で形成することができる。下部層は、アルミニウム酸化物(Al)、TiN酸化物(SnO)、炭化タングステン(WC)、ホウ素(B)、窒化ケイ素(Si)、炭化ケイ素窒化物(SiCN)、ケイ素ホウ素窒化物(SiBN)などのシリコン含有誘電体材料、ホウ素酸化物(B)またはホウ素窒化物(BN)などのホウ素含有誘電体材料、あるいは二酸化ジルコニウム(ZrO)またはチタン窒化物(TiN)などのセラミック材料で形成することができる。下部層の低エッチング速度のため、下部層は、下部層の上に形成された半導体層がパターニングされている間、損傷されない状態を維持する(例えば中に凹部を形成することなく)。
図1は、仕切られたプラズマ生成領域を有する化学気相堆積チャンバ100の一実施形態の横断面図である。化学気相堆積チャンバ100を利用して、酸化ケイ素、窒化ケイ素、ホウ化ケイ素、炭化ケイ素、酸窒化ケイ素またはオキシ炭化ケイ素などのシリコン含有層を基板の上に堆積させることができる。堆積プロセスの間、ガス入口アセンブリ105を介してプロセスガスを第1のプラズマ領域115に流入させることができる。プロセスガスは、遠隔プラズマシステム(RPS)101内の第1のプラズマ領域115への流入に先立って励起させることができる。堆積チャンバ100は蓋112およびシャワーヘッド125を含む。蓋112は、印可AC電圧源と共に示されており、また、シャワーヘッド125は接地されており、第1のプラズマ領域115におけるプラズマ生成と無矛盾である。絶縁リング120は蓋112とシャワーヘッド125の間に配置され、第1のプラズマ領域115における誘導結合プラズマ(ICP)または容量結合プラズマ(CCP)の形成を可能にしている。蓋112およびシャワーヘッド125は、それらの間の絶縁リング120と共に示されており、そのようにすることにより、シャワーヘッド125に対してAC電位を蓋112に印加することができる。
蓋112は、ガス入口アセンブリ105内の2つの全く異なるガス供給チャネルを特徴とする二重供給源の蓋であってもよい。第1のガス供給チャネル102は遠隔プラズマシステム(RPS)101を通過するガスを運び、一方、第2のガス供給チャネル104はRPS101をバイパスしている。第1のガス供給チャネル102はプロセスガスのために使用することができ、また、第2のガス供給チャネル104は処理ガスのために使用することができる。第1のプラズマ領域115に流入するガスはバッフル106によって分散させることができる。
前駆体などの流体は、シャワーヘッド125を介して堆積チャンバ100の第2のプラズマ領域133に流入させることができる。第1のプラズマ領域115の前駆体から誘導された、励起された種は、シャワーヘッド125中の開孔114を通って移動し、シャワーヘッド125から第2のプラズマ領域133に流入する前駆体と反応する。第2のプラズマ領域133にプラズマが存在することはほとんどないか、または全くない。前駆体の励起された誘導体は、第2のプラズマ領域133の中で結合して、基板の上に流動性誘電体材料を形成する。誘電体材料が成長するにつれて、より新しく加えられた材料の方が下方の材料よりも高い移動度を有する。移動度は、蒸発によって有機含有物が少なくなるにつれて小さくなる。間隙には、この技法を使用して、堆積が完了した後の誘電体材料中の有機含有物の従来の密度から逸脱することなく、流動性誘電体材料を充填することができる。硬化ステップを依然として使用して、有機含有物をさらに少なくし、または堆積した膜から有機含有物を除去することができる。
第1のプラズマ領域115のみにおける前駆体の励起、または遠隔プラズマシステム(RPS)101との組合せにおける前駆体の励起は、いくつかの利点を提供する。前駆体から誘導された、励起された種の濃度は、第1のプラズマ領域115におけるプラズマのため、第2のプラズマ領域133内で高くすることができる。濃度が高くなるのは、第1のプラズマ領域115におけるプラズマの場所によるものであり得る。第2のプラズマ領域133は、遠隔プラズマシステム(RPS)101よりも第1のプラズマ領域115の近くに配置されており、励起された種が他のガス分子、チャンバの壁およびシャワーヘッドの表面との衝突を介して励起状態から抜けるために残されている時間がより短い。
また、前駆体から誘導された、励起された種の濃度の均一性を第2のプラズマ領域133内で同じく改善することができる。均一性のこの改善は、第2のプラズマ領域133の形状により類似している第1のプラズマ領域115の形状によるものであり得る。遠隔プラズマシステム(RPS)101の中で作り出された、励起された種は、シャワーヘッド125の中心の近くの開孔114を通過する種と比較すると、シャワーヘッド125のエッジの近くの開孔114を通過するためにより長い距離を移動する。より長い距離は、励起された種の励起を弱めることになり、また、例えば基板のエッジの近くの成長速度を遅くすることになり得る。第1のプラズマ領域115における前駆体の励起は、この変化を小さくする。
前駆体に加えて、様々な目的のために異なる時間に導入される他のガスが存在し得る。例えば処理ガスを導入して、望ましくない種をチャンバ壁、基板、堆積した膜および/または堆積中の膜から除去することができる。処理ガスは、H、H/N混合物、NH、NHOH、O、O、Hおよび水蒸気からなるグループから選択されるガスのうちの少なくとも1つまたは複数を含むことができる。処理ガスは、プラズマ中で励起し、次に、残留有機含有物を少なくし、または堆積した膜から残留有機含有物を除去するために使用することができる。他の例では、プラズマなしに処理ガスを使用することができる。処理ガスが水蒸気を含んでいる場合、質量流量計(MFM)および注入弁を使用してデリバリを達成することができ、あるいは他の適切な水蒸気ジェネレータを利用することによってデリバリを達成することができる。
一実施形態では、シリコン含有前駆体を導入し、かつ、第2のプラズマ領域133の処理前駆体を反応させることによってシリコン含有層を堆積させることができる。誘電体材料前駆体の例は、シラン、ジシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、テトラエトキシシラン(TEOS)、トリエトキシシラン(TES)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチル-ジシロキサン(TMDSO)、テトラメチルシクロテトラシロキサン(TMCTS)、テトラメチル-ジエトキシル-ジシロキサン(TMDDSO)、ジメチル-ジメトキシル-シラン(DMDMS)またはそれらの組合せを含むシリコン含有前駆体である。窒化ケイ素を堆積させるための追加前駆体は、トリシリルアミン(TSA)およびジシリルアミン(DSA)を含むシリル-アミンおよびその誘導体などのSi含有前駆体、Sizz含有前駆体、SiClzz含有前駆体またはそれらの組合せを含む。
処理前駆体は、ホウ素含有化合物、水素含有化合物、酸素含有化合物、窒素含有化合物またはそれらの組合せを含むことができる。ホウ素含有化合物の適切な例には、BH、B、BF3、BCl、等々がある。適切な処理前駆体の例には、H、H/N混合物、NH、NHOH、O、O、H、N、N蒸気、NO、NO、NO、蒸気またはそれらの組合せを含むN化合物からなるグループから選択される化合物のうちの1つまたは複数がある。処理前駆体は、Nおよび/またはHおよび/またはO含有ラジカルまたはプラズマ、例えばNH、NH 、NH、N、H、O、Nまたはそれらの組合せを含むために例えばRPSユニットなどの中で励起されたプラズマであってもよい。プロセス前駆体は、別法として、本明細書において説明されている前駆体のうちの1つまたは複数を含むことも可能である。
処理前駆体は、B、Nおよび/またはHおよび/またはO含有ラジカルまたはプラズマ、あるいはそれらの組合せを含むプロセスガスプラズマおよびラジカルを生成するために第1のプラズマ領域115で励起されたプラズマであってもよい。別法として、処理前駆体は、第1のプラズマ領域115への導入に先立って、遠隔プラズマシステムを通過した後に既にプラズマ状態にあってもよい。
次に、前駆体と反応させるために、励起された処理前駆体が開孔114を介して第2のプラズマ領域133に引き渡される。処理体積になると、処理前駆体を混合し、反応させて、基板の上に誘電体材料を堆積させることができる。
図2は、異方性エッチングおよび等方性エッチングなどのパターニングプロセスの実施に適した処理チャンバ200の一例の断面図である。本明細書において開示されている方法と共に使用するように適合させることができる適切な処理チャンバには、例えばCalifornia州Santa ClaraのApplied Materials,Inc.から入手することができるCENTRIS(登録商標)SYM3(商標)処理チャンバがある。示されている処理チャンバ200は、優れたエッチング性能を可能にする複数の特徴を含んでいるが、他の処理チャンバを適合させて、本明細書において開示されている本発明の特徴のうちの1つまたは複数を利用することができることが企図されている。
処理チャンバ200は、内部体積206を密閉するチャンバ本体202および蓋204を含む。チャンバ本体202は、典型的には、アルミニウム、ステンレス鋼または他の適切な材料から製造される。チャンバ本体202は、通常、側壁208および底部210を含む。基板支持体ペデスタルアクセスポート(図示せず)は、通常、側壁208の中に画定され、また、処理チャンバ200からの基板203の出し入れを容易にするためにスリットバルブによって選択的に密閉される。排気口226は、チャンバ本体202の中に画定され、内部体積206を真空ポンプシステム228に結合している。真空ポンプシステム228は、通常、処理チャンバ200の内部体積206の圧力を排気し、かつ、調整するために利用される1つまたは複数のポンプおよびスロットルバルブを含む。一実施態様では、真空ポンプシステム228は、内部体積206の内側の圧力を動作圧力に維持し、典型的には約10mTorrと約500Torrの間に維持する。
蓋204は、チャンバ本体202の側壁208の上に密閉方式で支持されている。蓋204は、開いて、処理チャンバ200の内部体積206へのアクセスを許容することができる。蓋204は、光プロセス監視を容易にする窓242を含む。一実施態様では、窓242は、処理チャンバ200の外側に取り付けられた光学モニタシステム240によって利用される信号に対して透過性である石英または他の適切な材料からなっている。
光学モニタシステム240は、チャンバ本体202の内部体積206、および/または基板支持体ペデスタルアセンブリ248の上に配置された基板203のうちの少なくとも一方を窓242を通して見るように配置されている。一実施形態では、光学モニタシステム240は蓋204に結合され、光計測学を使用して、入って来る基板パターン特徴矛盾(厚さ、等々など)を補償するためのプロセス調整を可能にする情報を提供し、また、必要に応じてプロセス状態監視(プラズマ監視、温度監視、等々など)を提供する統合堆積プロセスを容易にしている。本開示を利用するように適合させることができる一光学モニタシステムは、California州Santa ClaraのApplied Materials,Inc.から入手することができるEyeD(登録商標)フル-スペクトル干渉計計測学モジュールである。
ガスパネル258は、プロセスガスおよび/または洗浄ガスを内部体積206に提供するために処理チャンバ200に結合されている。図2に示されている例では、入口ポート232’、232’’は、ガスをガスパネル258から処理チャンバ200の内部体積206に引き渡すことができるよう、蓋204の中に提供されている。一実施態様では、ガスパネル258は、入口ポート232’、232’’を介して処理チャンバ200の内部体積206の中にフッ化プロセスガスを提供するように適合されている。一実施態様では、ガスパネル258から提供されるプロセスガスは、少なくともフッ化ガス、塩素および炭素含有ガス、酸素ガス、窒素含有ガスおよび塩素含有ガスを含む。フッ化および炭素含有ガスの例には、CHF、CHおよびCFがある。他のフッ化ガスは、CF、C、CおよびCのうちの1つまたは複数を含むことができる。酸素含有ガスの例には、O、CO、CO、NO、NO、O、HO、等々がある。窒素含有ガスの例には、N、NH、NO、NO、等々がある。塩素含有ガスの例には、HCl、Cl、CCl、CHCl、CHCl、CHCl、等々がある。炭素含有ガスの適切な例には、メタン(CH)、エタン(C)、エチレン(C)、等々がある。
シャワーヘッドアセンブリ230は蓋204の内部表面214に結合されている。シャワーヘッドアセンブリ230は、ガスがシャワーヘッドアセンブリ230を通って、入口ポート232’、232’’から処理チャンバ200の内部体積206の中へ、処理チャンバ200の中で処理される基板203の表面全体にわたって定義済み分布で流れることができる複数の開孔を含む。
遠隔プラズマ源277は、任意選択で、処理のための内部体積206への流入に先立って、遠隔プラズマからの混合ガスの解離を容易にするためにガスパネル258に結合することができる。RFソース電力243は、整合回路網241を介してシャワーヘッドアセンブリ230に結合されている。RFソース電力243は、典型的には、約50kHzから約200MHzまでの範囲の同調可能周波数で最大約3000Wを生成することができる。
シャワーヘッドアセンブリ230は、さらに、光計測学信号に対して透過性の領域を含む。光透過性領域すなわち通路238は、内部体積206および/または基板支持体ペデスタルアセンブリ248の上に配置された基板203を光学モニタシステム240が見ることができるようにするのに適している。通路238は、シャワーヘッドアセンブリ230の中に形成され、または配置された、光学モニタシステム240によって生成され、かつ、反射して光学モニタシステム240に戻るエネルギーの波長に対して実質的に透過性の材料、開孔または複数の開孔であってもよい。
一実施態様では、シャワーヘッドアセンブリ230は、処理チャンバ200の内部体積206に流入するガスを個別に制御することができる複数のゾーンを使用して構成されている。図2に示されている例では、シャワーヘッドアセンブリ230は、個別の入口ポート232’、232’’を介してガスパネル258に個別に結合されている内部ゾーン234および外部ゾーン236を有している。
基板支持体ペデスタルアセンブリ248は、ガス分配(シャワーヘッド)アセンブリ230の下方の、処理チャンバ200の内部体積206の中に配置されている。基板支持体ペデスタルアセンブリ248は、処理の間、基板203を保持する。基板支持体ペデスタルアセンブリ248は、通常、基板支持体ペデスタルアセンブリ248を貫通して配置された、基板203を基板支持体ペデスタルアセンブリ248から持ち上げ、ロボット(図示せず)を使用した従来の方法による基板203の交換を容易にするように構成されている複数のリフトピン(図示せず)を含む。内側ライナ218は、基板支持体ペデスタルアセンブリ248の周囲に密に外接させることができる。
一実施態様では、基板支持体ペデスタルアセンブリ248は、取付板262、ベース264および静電チャック266を含む。取付板262はチャンバ本体202の底部210に結合されており、ベース264および静電チャック266へのとりわけ流体、電力線およびセンサリード線などの経路指定ユーティリティのための通路を含む。静電チャック266は、シャワーヘッドアセンブリ230の下方に基板203を保持するための少なくとも1つのクランプ電極280を備えている。静電チャック266は、従来から知られているように、基板203をチャック表面に保持する静電力を展開するためにチャック電源282によって駆動される。別法としては、クランピング、真空または重力によって基板203を基板支持体ペデスタルアセンブリ248に保持することも可能である。
ベース264または静電チャック266のうちの少なくとも一方は、基板支持体ペデスタルアセンブリ248の横方向の温度プロファイルを制御するために、少なくとも1つの任意選択の埋設ヒータ276、少なくとも1つの任意選択の埋設アイソレータ274および複数の導管268、270を含むことができる。導管268、270は、温度調整流体を導管を通して循環させる流体源272に流体結合されている。ヒータ276は電源278によって調整されている。導管268、270およびヒータ276を利用してベース264の温度が制御され、それにより静電チャック266を加熱および/または冷却し、延いては静電チャック266の上に配置された基板203の温度プロファイルを制御する。静電チャック266およびベース264の温度は、複数の温度センサ290、292を使用して監視することができる。静電チャック266は、静電チャック266の表面を支持している基板支持体ペデスタルの中に形成され、Heなどの伝熱(または裏側)ガス源に流体結合されている溝などの複数のガス通路(図示せず)をさらに備えることができる。動作中、裏側ガスが制御された圧力でガス通路に提供され、静電チャック266と基板203の間の伝熱を強化する。
一実施態様では、基板支持体ペデスタルアセンブリ248はカソードとして構成され、複数のRFバイアス電源284、286に結合されている電極280を含む。RFバイアス電源284、286は、基板支持体ペデスタルアセンブリ248の中に配置された電極280と、チャンバ本体202のシャワーヘッドアセンブリ230または天井(蓋204)などの別の電極との間に結合されている。RFバイアス電力はチャンバ本体202の処理領域に配置されたガスから形成されたプラズマ放電を励起し、かつ、維持する。
図2に示されている例では、二重RFバイアス電源284、286は、基板支持体ペデスタルアセンブリ248の中に配置された電極280に整合回路288を介して結合されている。RFバイアス電源284、286によって生成された信号は、整合回路288を介して、プラズマ処理チャンバ200の中に提供される混合ガスをイオン化するための信号フィードを介して基板支持体ペデスタルアセンブリ248に引き渡され、それにより、堆積または他のプラズマ増速プロセスを実施するために必要なイオンエネルギーを提供する。RFバイアス電源284、286は、通常、約50kHzから約200MHzまでの周波数を有するRF信号、および約0ワットと約5000ワットの間の電力を生成することができる。プラズマの特性を制御するために、追加バイアス電源289を電極280に結合することができる。
一動作モードでは、基板203がプラズマ処理チャンバ200の中の基板支持体ペデスタルアセンブリ248の上に配置される。プロセスガスおよび/または混合ガスがガスパネル258からシャワーヘッドアセンブリ230を介してチャンバ本体202の中に導入される。堆積副産物を除去している間、真空ポンプシステム228がチャンバ本体202の内側の圧力を維持する。
コントローラ250は、処理チャンバ200の動作を制御するために処理チャンバ200に結合されている。コントローラ250は、中央処理装置(CPU)252、メモリ254、およびプロセスシーケンスを制御し、また、ガスパネル258からのガスの流れを調整するために利用される支持体回路256を含む。CPU252は、産業設定で使用することができる任意の形態の汎用コンピュータプロセッサであってもよい。ソフトウェアルーチンは、ランダムアクセスメモリ、リードオンリメモリ、フロッピーまたはハードディスクドライバ、あるいは他の形態のデジタルストレージなどのメモリ254に記憶することができる。支持体回路256は、従来通りにCPU252に結合され、また、キャッシュ、クロック回路、入力/出力システム、電力サプライ、等々を含むことができる。コントローラ250と処理チャンバ200の様々な構成要素の間の指向性通信は、多くの信号ケーブルを介して処理される。
図3は、一実施形態によるナノ構造400を形成するための方法300の流れ図である。図4A、図4B、図4C、図4D、図4E、図4Fおよび図4Gは、方法300の様々な段階に対応するナノ構造400の一部の横断面図である。方法300を利用して、コンタクト誘電体層、ゲート電極層、ゲート誘電体層、STI絶縁層、金属間層(IML)または任意の適切な層などの材料層の中に特徴を形成することができる。別法としては、方法300を有利に利用して、必要に応じて任意の他のタイプの構造をエッチングすることも可能である。
図4Aに示されているように、ナノ構造400は、基板402、基板402の上に配置された界面層404、界面層404の上に配置された下部層406、および下部層406の上に配置されたマンドレル層408を含む。
基板402は、結晶シリコン(例えばSi<100>またはSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた、またはドープされていない多結晶シリコン、ドープされた、またはドープされていないシリコンウエハ、およびパターン化された、またはパターン化されていないウエハ、絶縁体上シリコン(SOI)、炭素ドープ酸化ケイ素、窒化ケイ素、ドープドシリコン、ゲルマニウム、砒化ガリウム、ガラスまたはサファイアなどの材料を含むことができる。基板402は、200mm、300mm、450mmなどの様々な寸法を有することができ、あるいは他の直径のウエハ、ならびに長方形または正方形のパネルを有することができる。
界面層404は、酸化ケイ素(SiO)、テトラ-エチル-オルトシリケート(TEOS)、酸窒化ケイ素(SiON)、ホウ化ケイ素(SiBx)、ケイ素炭窒化物(SiCN)、炭化ホウ素(BC)、アモルファスカーボン、ホウ素窒化物(BN)、炭化ホウ素窒化物(BCN)、炭素がドープされた酸化物、多孔性二酸化ケイ素、窒化ケイ素(SiN)、酸炭窒化物、重合体、リンケイ酸塩ガラス、フッ素ケイ酸塩(SiOF)ガラス、有機ケイ酸塩ガラス(SiOCH)、他の適切な酸化物材料、他の適切な炭化物材料、他の適切な酸炭化物材料、または他の適切な酸素窒化物材料で形成することができる。
下部層406は、以下で説明されるように、後続するエッチプロセスでマンドレル層408の上に堆積されるスペーサ層424(図4B、図4Cおよび図4Eに示されている)に対するエッチ選択性を提供するエッチング停止層である。
マンドレル層408は、アモルファスカーボン、スピン-オンカーボン(SoC)などの炭素含有材料、または他の適切な炭素含有材料で形成することができ、任意の適切なリソグラフィおよびエッチプロセスを使用して、開口422でパターニングされる。特定の一例では、マンドレル層408は、California州Santa Clara在所のApplied Materials,Inc.が製造しているSaphira(商標)Advanced Patterning膜(APF)炭素ハードマスクで形成される。
スペーサ層424は、窒化ケイ素(Si)、酸化ケイ素(SiO)またはホウ化ケイ素(SiB)などのシリコン含有誘電体材料で形成することができる。いくつかの他の実施形態では、スペーサ層424は、ホウ素ドープドシリコン材料、リンドープドシリコン、または他の適切な第III族、第IV族または第V族ドープドシリコン材料などのドープドシリコン含有材料で形成することができる。いくつかの実施形態では、下部層406は、フッ素含有エッチガスを使用して窒化ケイ素(Si)で形成されたスペーサ層424の一部を除去するためのエッチプロセスにおけるエッチング速度が著しく遅い第1のタイプの材料で形成される。したがって下部層406は、エッチプロセスに使用されるエッチングガスに対して耐性である。第1のタイプの材料の適切な例には、アルミニウム酸化物(Al)、TiN酸化物(SnO)、ホウ素(B)または炭化タングステン(WC)がある。エッチプロセスでCHFなどのフッ素含有エッチガスを使用して第1のタイプの材料で形成された下部層406のエッチング速度は、スペーサ層424のエッチング速度よりも著しく遅くすることができる。いくつかの他の実施形態では、下部層406は、塩素含有エッチングガスを使用してドープドシリコン含有材料で形成されたスペーサ層424の一部を除去するためのエッチプロセスにおけるエッチング速度が著しく遅い第2のタイプの材料で形成される。したがって下部層406は、エッチプロセスに使用されるエッチングガスに対して耐性である。第2のタイプの材料の適切な例にはアルミニウム酸化物(Al)がある。エッチプロセスで塩素含有エッチングガスを使用して第2のタイプの材料で形成された下部層406のエッチング速度は、スペーサ層424のエッチング速度よりも著しく遅くすることができる。いくつかの他の実施形態では、下部層406は、塩素含有エッチガスを使用して酸化ケイ素(SiO)で形成されたスペーサ層424の一部を除去するためのエッチプロセスにおけるエッチング速度が著しく遅い第3のタイプの材料で形成される。したがって下部層406は、エッチプロセスに使用されるエッチングガスに対して耐性である。第3のタイプの材料の適切な例には、アルミニウム酸化物(Al)、TiN酸化物(SnO)、ホウ素(B)または窒化ケイ素(Si)がある。エッチプロセスでCFなどのフッ素含有エッチングガスを使用して第3のタイプの材料で形成された下部層406のエッチング速度は、スペーサ層424のエッチング速度よりも著しく遅くすることができる。
いくつかの他の実施形態では、下部層406は、スペーサ層424の一部を除去するためのエッチプロセスにおけるエッチング速度が遅い、炭化ケイ素窒化物(SiCN)またはケイ素ホウ素窒化物(SiBN)などのシリコン含有誘電体材料、ホウ素酸化物(B)またはホウ素窒化物(BN)などのホウ素含有誘電体材料、あるいは二酸化ジルコニウム(ZrO)またはチタン窒化物(TiN)などのセラミック材料、他の適切な酸化物材料、他の適切な炭化物材料、他の適切な酸炭化物材料、または他の適切な酸素窒化物材料で形成することができる。
方法300は、ブロック302で、スペーサ層424を堆積させるための堆積プロセスによって開始する。スペーサ層424は、図4Bに示されているように、マンドレル層408の開口422を介して下部層406の露出した表面426、およびマンドレル層408の頂面428および側壁430に共形で堆積される。スペーサ層424は、原子層堆積(ALD)、化学気相堆積(CVD)、スピン-オン、物理的気相堆積(PVD)、等々などの任意の適切な堆積プロセスを使用して形成することができる。
ブロック304で第1のエッチプロセスが実施され、スペーサ層424の一部が下部層406の表面426およびマンドレル層408の頂面428から除去され、図4Bに示されているように、マンドレル層408の側壁430のスペーサ層424の部分のみが残される。この負担の大きいエッチプロセスは、California州Santa ClaraのApplied Materials,Inc.から入手することができるCENTRIS(登録商標)SYM3(商標)処理チャンバなどの処理チャンバ内におけるドライプラズマエッチプロセスなどの任意の適切なエッチプロセスであってもよい。スペーサ層424の一部を除去するためのエッチプロセスにおける下部層406のエッチング速度が遅いため、下部層406は、スペーサ層424がパターニングされている間、損傷されない状態を維持する(例えば下部層406中に凹部を形成することなく)。
スペーサ層424が窒化ケイ素(Si)で形成される実施形態では、ブロック304におけるエッチプロセスは、フッ素含有エッチングガス、酸素含有ガス、およびヘリウム(He)、窒素(N)、アルゴン(Ar)または水素(H)などの不活性ガスを処理チャンバに同時に供給することによって実施される。フッ素含有エッチングガスの適切な例には、CHF、NF、HF、CFおよびSFがある。酸素含有ガスの適切な例には、O、NO、NO、O、SO、COS、COおよびCOがある。特定の一例では、フッ素含有エッチングガスはCHFを含み、酸素含有ガスはOを含み、また、不活性ガスはヘリウム(He)を含む。一例では、OガスおよびCHFガスをそれぞれ約5sccmと約200sccmの間、例えば約20sccm、および約5sccmと約200sccmの間、例えば約50sccmの流量で供給することができる。不活性ガスであるヘリウム(He)は、10sccmと約1000sccmの間、例えば約200sccmの流量で供給することができる。ドライプラズマエッチプロセスは、約5秒と約350秒の間、例えば約90秒の継続期間にわたって実施される。一例示的実施形態では、処理チャンバの中のプロセス圧力は、約5mTorrと約150mTorrの間、例えば約60mTorrに調整される。
スペーサ層424がドープドシリコン含有材料で形成される実施形態では、ブロック304におけるエッチプロセスは、塩素含有エッチングガス、パッシベーションガス、およびアルゴン(Ar)、窒素(N)、ヘリウム(He)または水素(H)などの不活性ガスを処理チャンバに同時に供給することによって実施される。塩素含有エッチガスの適切な例にはClおよびBClがある。塩素含有ガスは、SiCl、SiHCl、SiHCl、SiHCl、SiCl、SiBr、SiHBr、SiHBr、SiHBr,SiH、Si、Si、Si10、SiHI、SiHI、C12SiおよびSi(Cなどのシリコン含有化合物を含むことができる。パッシベーションガスの適切な例には、HBr、BCl、SFおよびHSがある。特定の一例では、塩素含有エッチングガスはClを含み、パッシベーションガスはHBrを含み、また、不活性ガスはアルゴン(Ar)および窒素(N)を含む。一例では、HBrガスおよびClガスをそれぞれ約10sccmと約1000sccmの間、例えば約200sccm、および約10sccmと約1000sccmの間、例えば約100sccmの流量で供給することができる。不活性ガスであるアルゴン(Ar)および窒素(N)は、それぞれ10sccmと約1000sccmの間、例えば約100sccm、および約5_sccmと約500sccmの間、例えば約20sccmの流量で供給することができる。ドライプラズマエッチプロセスは、約5秒と約300秒の間、例えば約35秒の継続期間にわたって実施される。一例示的実施形態では、処理チャンバの中のプロセス圧力は、約3mTorrと約150mTorrの間、例えば約7mTorrに調整される。
スペーサ層424が酸化ケイ素(SiO)で形成される実施形態では、ブロック304におけるエッチプロセスは、フッ素含有エッチングガスを処理チャンバに供給することによって実施される。フッ素含有エッチングガスの適切な例にはCFがある。一例では、CFガスを約5sccmと約600sccmの間、例えば約200sccmの流量で供給することができる。ドライプラズマエッチプロセスは、約5秒と約300秒の間、例えば約15秒の継続期間にわたって実施される。一例示的実施形態では、処理チャンバの中のプロセス圧力は、約3mTorrと約150mTorrの間、例えば約4mTorrに調整される。
ブロック306で第2のエッチプロセスが実施され、図4Dに示されているように、California州Santa ClaraのApplied Materials,Inc.から入手することができるCENTRIS(登録商標)SYM3(商標)処理チャンバなどの処理チャンバ内におけるドライプラズマエッチプロセスによってマンドレル層408が除去される。ブロック306における第2のエッチプロセスでは、アルミニウム酸化物(Al)、TiN酸化物(SnO)、ホウ素(B)または炭化タングステン(WC)などの第1のタイプの材料、アルミニウム酸化物(Al)などの第2のタイプの材料、またはアルミニウム酸化物(Al)、TiN酸化物(SnO)、ホウ素(B)または窒化ケイ素(Si)などの第3のタイプの材料で形成された下部層406のエッチング速度は、Dielectric Anti-Reflection Coating(DARC)(登録商標)193膜などの従来のマスク材料で形成された下部層のエッチング速度と同様であるか、またはそれより遅い。
ブロック306におけるドライプラズマエッチプロセスは、酸素含有ガスおよびアルゴン(Ar)、窒素(N)、ヘリウム(He)または水素(H)などの不活性ガスを処理チャンバに同時に供給することによって実施される。酸素含有ガスの適切な例には、O、NO、NO、O、SO、COS、COおよびCOがある。特定の一例では、酸素含有ガスはOを含み、また、不活性ガスはアルゴン(Ar)を含む。
ブロック306におけるドライプラズマエッチプロセスの間、いくつかのプロセスパラメータを同じく調整することができる。一例では、Oガスを約5sccmと約200sccmの間、例えば約300sccmの流量で供給することができる。不活性ガスであるアルゴン(Ar)は、10sccmと約1000sccmの間、例えば約100sccmの流量で供給することができる。ドライプラズマエッチプロセスは、約10秒と約200秒の間、例えば約60秒の継続期間にわたって実施される。一例示的実施形態では、処理チャンバの中のプロセス圧力は、約5mTorrと約150mTorrの間、例えば約45mTorrに調整される。
本明細書において説明されている実施形態では、下部層の上に形成された層の一部を除去するためのエッチプロセスにおけるエッチング速度が著しく遅い下部層のための材料、およびこのような下部層を使用して構造を形成する方法が提供される。エッチングされる層は、炭素含有材料、窒化ケイ素、ドープドシリコン含有材料または酸化ケイ素で形成することができる。下部層は、アルミニウム酸化物(Al)、TiN酸化物(SnO)、炭化タングステン(WC)、ホウ素(B)または窒化ケイ素(Si)で形成することができる。下部層のエッチング速度が著しく遅いため、オーバエッチングによって下部層に形成され得る凹部が著しく少なくなり、結果として得られる半導体デバイスの欠陥が低減される。いくつかの実施形態では、ブロック302における堆積プロセスおよびブロック304における第1のエッチプロセスは、化学気相堆積チャンバ100などの堆積チャンバ、および処理チャンバ200などの処理チャンバを含む処理システムにおける低圧力環境または真空環境を破壊することなく実施される。低圧力環境または真空環境を破壊しないプロセスにより、大気環境に導入される湿気による汚染を少なくすることができ、また、形成された半導体デバイスにおける欠陥をさらに低減することができる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく、本開示の他の実施形態およびさらなる実施形態を工夫することができ、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 構造であって、
    基板の上に形成された下部層であって、第1の材料を含む下部層と、
    前記下部層の上に形成されたマンドレル層と、
    前記マンドレル層の上に形成されたスペーサ層であって、第2の材料を含むスペーサ層と
    を備え、
    前記第1の材料が、前記スペーサ層の一部を除去するための第1のエッチプロセス、および前記マンドレル層を除去するための第2のエッチプロセスに使用されるエッチングガスに対して耐性である、構造。
  2. 前記第2の材料が窒化ケイ素を含み、
    前記第1のエッチプロセスが、フッ素含有エッチングガスを使用するエッチプロセスを含む、
    請求項1に記載の構造。
  3. 前記第1の材料が、アルミニウム酸化物、TiN酸化物、ホウ素または炭化タングステンのうちの少なくとも1つを含む、
    請求項2に記載の構造。
  4. 前記第2の材料がドープドシリコン含有材料を含み、
    前記第1のエッチプロセスが、塩素含有エッチングガスを使用するエッチプロセスを含む、
    請求項1に記載の構造。
  5. 前記第1の材料がアルミニウム酸化物を含む、
    請求項4に記載の構造。
  6. 前記第2の材料が酸化ケイ素を含み、
    前記第1のエッチプロセスが、フッ素含有エッチングガスを使用するエッチプロセスを含む、
    請求項1に記載の構造。
  7. 前記第1の材料が、アルミニウム酸化物、TiN酸化物、ホウ素または窒化ケイ素のうちの少なくとも1つを含む、
    請求項6に記載の構造。
  8. 前記マンドレル層が炭素含有材料を含み、
    前記第2のエッチプロセスが、酸素含有エッチングガスを使用するエッチプロセスを含む、
    請求項1に記載の構造。
  9. 構造の形成に使用するための下部層であって、
    基板の上に形成された第1の材料であって、前記第1の材料の上に形成された第2の材料の一部を除去するための第1のエッチプロセスに使用されるエッチングガスに対して耐性である第1の材料
    を備える、構造の形成に使用するための下部層。
  10. 前記第2の材料が窒化ケイ素を含み、
    前記第1の材料が、アルミニウム酸化物、TiN酸化物、ホウ素および炭化タングステンのうちの少なくとも1つを含み、
    前記第1のエッチプロセスが、フッ素含有エッチングガスを使用するエッチプロセスを含む、
    請求項9に記載の下部層。
  11. 前記第2の材料がドープドシリコン含有材料を含み、
    前記第1の材料がアルミニウム酸化物を含み、
    前記第1のエッチプロセスが、塩素含有エッチングガスを使用するエッチプロセスを含む、
    請求項9に記載の下部層。
  12. 前記第2の材料が酸化ケイ素を含み、
    前記第1の材料が、アルミニウム酸化物、TiN酸化物、ホウ素または窒化ケイ素のうちの少なくとも1つを含み、
    前記第1のエッチプロセスが、フッ素含有エッチングガスを使用するエッチプロセスを含む、
    請求項9に記載の下部層。
  13. 基板の上に構造を形成するための方法であって、前記方法は、
    マンドレル層の上、および前記マンドレル層から露出されている下部層の表面にスペーサ層を共形で堆積させることを含む、堆積プロセスを実施することと、
    前記マンドレル層の側壁から前記スペーサ層を除去することなく、前記マンドレル層の頂面および前記下部層の前記表面から前記スペーサ層の一部を除去することを含む、第1のエッチプロセスを実施することと
    を含み、前記下部層が、前記第1のエッチプロセスに使用されるエッチングガスに対して耐性である、構造を形成するための方法。
  14. 前記スペーサ層が窒化ケイ素を含み、
    前記第1のエッチプロセスが、フッ素含有エッチングガスを使用するエッチプロセスを含む、
    請求項13に記載の方法。
  15. 前記下部層が、アルミニウム酸化物、TiN酸化物、ホウ素または炭化タングステンのうちの少なくとも1つを含む、
    請求項14に記載の方法。
  16. 前記スペーサ層がドープドシリコン含有材料を含み、
    前記第1のエッチプロセスが、塩素含有エッチングガスを使用するエッチプロセスを含む、
    請求項13に記載の方法。
  17. 前記下部層がアルミニウム酸化物を含む、
    請求項16に記載の方法。
  18. 前記スペーサ層が酸化ケイ素を含み、
    前記第1のエッチプロセスが、フッ素含有エッチングガスを使用するエッチプロセスを含み、
    前記下部層が、アルミニウム酸化物、TiN酸化物、ホウ素または窒化ケイ素のうちの少なくとも1つを含む、
    請求項13に記載の方法。
  19. 前記スペーサ層を除去することなく前記マンドレル層を除去することを含む、第2のエッチプロセスを実施すること
    をさらに含み、
    前記下部層が、前記第2のエッチプロセスに使用されるエッチングガスに対して耐性であり、
    前記マンドレル層が炭素含有材料を含み、
    前記第2のエッチプロセスが、酸素含有エッチングガスを使用するエッチプロセスを含む、
    請求項13に記載の方法。
  20. 下部層には凹部が形成されない、請求項13に記載の方法。
JP2023530169A 2020-12-10 2021-11-12 半導体デバイス形成のための下部層膜 Pending JP2023553273A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202063123882P 2020-12-10 2020-12-10
US63/123,882 2020-12-10
US17/157,548 2021-01-25
US17/157,548 US20220189771A1 (en) 2020-12-10 2021-01-25 Underlayer film for semiconductor device formation
PCT/US2021/059142 WO2022125268A1 (en) 2020-12-10 2021-11-12 Underlayer film for semiconductor device formation

Publications (1)

Publication Number Publication Date
JP2023553273A true JP2023553273A (ja) 2023-12-21

Family

ID=81941655

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023530169A Pending JP2023553273A (ja) 2020-12-10 2021-11-12 半導体デバイス形成のための下部層膜

Country Status (6)

Country Link
US (1) US20220189771A1 (ja)
JP (1) JP2023553273A (ja)
KR (1) KR20220082760A (ja)
CN (1) CN116670802A (ja)
TW (1) TW202236508A (ja)
WO (1) WO2022125268A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220005694A1 (en) * 2016-06-28 2022-01-06 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7902074B2 (en) * 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7709275B2 (en) * 2008-04-10 2010-05-04 United Microelectronics Corp. Method of forming a pattern for a semiconductor device and method of forming the related MOS transistor
US8637406B1 (en) * 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10410872B2 (en) * 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
WO2019018204A1 (en) * 2017-07-15 2019-01-24 Micromaterials Llc MASK DIAGRAM FOR EXTENDED EDGE WINDOW ERROR WINDOW PATTERN (EPE) FLOW PATTERN FLOW
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes

Also Published As

Publication number Publication date
KR20220082760A (ko) 2022-06-17
WO2022125268A1 (en) 2022-06-16
CN116670802A (zh) 2023-08-29
US20220189771A1 (en) 2022-06-16
TW202236508A (zh) 2022-09-16

Similar Documents

Publication Publication Date Title
US10580690B2 (en) Staircase encapsulation in 3D NAND fabrication
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US9837270B1 (en) Densification of silicon carbide film using remote plasma treatment
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US8247332B2 (en) Hardmask materials
US20170365462A1 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US8178443B2 (en) Hardmask materials
US20110151142A1 (en) Pecvd multi-step processing with continuous plasma
JP7357688B2 (ja) 多重スペーサパターニングのスキーム
KR20180028972A (ko) 산소 도핑된 실리콘 카바이드 막들의 리모트 플라즈마 기반 증착
TW202125627A (zh) 形成氣隙的系統及方法
JP2023553273A (ja) 半導体デバイス形成のための下部層膜
CN115803474A (zh) 具有受控膜性质和高沉积速率的保形热cvd
KR20210097044A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US20230066543A1 (en) Fully self aligned via integration processes
US20220359201A1 (en) Spacer patterning process with flat top profile
US20240162057A1 (en) Spacer patterning process with flat top profile
JPH11283976A (ja) 半導体装置及びその製造方法
TW202309329A (zh) 用於構形選擇性沉積之方法及系統