TW201517122A - 將用於離子植入製程之硬光罩層圖案化的方法 - Google Patents

將用於離子植入製程之硬光罩層圖案化的方法 Download PDF

Info

Publication number
TW201517122A
TW201517122A TW103132636A TW103132636A TW201517122A TW 201517122 A TW201517122 A TW 201517122A TW 103132636 A TW103132636 A TW 103132636A TW 103132636 A TW103132636 A TW 103132636A TW 201517122 A TW201517122 A TW 201517122A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
hard mask
mask layer
planarization layer
Prior art date
Application number
TW103132636A
Other languages
English (en)
Inventor
Bingxi Sun Wood
Li Yan Miao
Huixiong Dai
Adam Brand
yong-mei Chen
Mandar B Pandit
qing-jun Zhou
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201517122A publication Critical patent/TW201517122A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Abstract

本發明實施例提供一種以良好製程控制將用於離子植入製程之硬光罩層圖案化的方法,特別適用於製造半導體晶片用之鰭式場效電晶體(FinFET)。在一個實施例中,一種圖案化配置在基板上的硬光罩層的方法,包含:形成平坦化層於配置在基板上的硬光罩層上方;配置圖案化光阻劑層於平坦化層上方;在處理腔室中圖案化未被圖案化光阻劑層所覆蓋之平坦化層以及硬光罩層;曝露下層基板的第一部分;以及由基板移除平坦化層。

Description

將用於離子植入製程之硬光罩層圖案化的方法
本發明實施例通常關於一種將用於鰭式場效電晶體(FinFET)的硬光罩層圖案化的方法,且更特定關於一種將用於鰭式場效電晶體(FinFET)半導體應用的離子植入製程期間的硬光罩層圖案化的方法。
對於半導體元件的下一代超大型積體電路(VLSI)與極大型積體電路(ULSI)而言,可靠地生產次半微米(sub-half micron)與更小特徵是其中一個關鍵技術挑戰。然而,隨著電路技術限制的增加,VLSI與ULSI互連技術的縮小尺寸已需要額外的處理能力。對於VLSI與ULSI的成功與否以及對於持續努力提高個別基板與晶粒的電路密度與品質來說,在基板上可靠地形成元件結構是很重要的。
圖案化光罩,例如光阻劑層或硬光罩層,通常用於藉由蝕刻製程於基板上形成結構,例如閘極結構、佈植區域 界定、淺溝槽隔離(STI)、位元線等等。傳統上,藉由光刻製程來製造圖案化光罩,以光學轉印具有期望臨界尺寸的圖案至光阻劑層或硬光罩層。舉例來說,接著顯影光阻劑層以移除光阻劑的非期望部分,因而在剩餘光阻劑中產生開口。在使用額外硬光罩層的情況中,可接著進一步使用形成在剩餘光阻劑中的開口作為蝕刻光罩來蝕刻/圖案化硬光罩層。
為了能夠製造下一代的元件與結構,使用鰭式場效電晶體(FinFET)架構來改良電晶體性能。特定來說,使用鰭式場效電晶體(FinFET)架構來改良通道上方的閘極控制,以改良元件性能,因此能獲得較高的半導體元件密度。藉由使用鰭式場效電晶體(FinFET)架構,可在積體電路(IC)中以彼此非常接近的方式放置多個電晶體。
第1A圖描繪配置在基板100上的鰭式場效電晶體(FinFET)150的示例性實施例。基板100可為矽基板、鍺基板或由其他半導體材料所形成的基板。在一個實施例中,基板100可包含摻雜在基板100中的p-型摻雜劑或n-型摻雜劑。基板100包含複數個半導體鰭板102與152,該半導體鰭板102與152形成在基板100上且由淺溝槽隔離(STI)結構104所隔開。基板100可包含一部分的NMOS元件區域101與一部分的PMOS元件區域103,可依序且交替地將每個半導體鰭板102與152形成在基板100的NMOS元件區域101與PMOS元件區域103中。將半導體鰭板102與152形成在淺溝槽隔離(STI)結構104的頂表面上方。接著,將閘極結構106沉積在NMOS元件區域101與PMOS元件區域103上以及沉 積在半導體鰭板102與152上方,該閘極結構106通常包含閘極電極層,該閘極電極層配置在閘極介電層上。
可圖案化閘極結構106以曝露未被閘極結構106所覆蓋的半導體鰭板102與152的部分148與168。接著以摻雜劑摻雜半導體鰭板102與152的曝露部分148與168,以藉由植入製程形成環型延伸區域以及源極延伸區域與汲極延伸區域。
第1B圖描繪基板100的橫截面圖,該基板100包含形成在基板100上的複數個半導體鰭板102與152,由淺溝槽隔離(STI)結構104隔開半導體鰭板102與152。舉例來說,在植入製程期間,可期望離子(如箭頭112所示)僅被植入位在PMOS元件區域103中的半導體鰭板152,反之亦然。在此情況中,其他區域,例如位在NMOS元件區域101中的半導體鰭板102,可由硬光罩層114所保護,如第1B圖所示,以避免摻雜劑滲入位在NMOS元件區域101的半導體鰭板102中。然而,僅在基板100的某些區域中選擇性形成硬光罩層114會提高製程的複雜度。通常,圖案化硬光罩層114而由基板100移除一部分的硬光罩層114,以曝露基板100的一些部分,用於進行植入。然而,隨著鰭式場效電晶體(FinFET)150的設計被推向結構幾何學的技術限制時,對於製造具有薄層的較小電路尺寸結構以及結構控制的精確製程控制的需求則漸漸變得重要。用於圖案化硬光罩層114的傳統製程通常具有較差的蝕刻停止控制以及較低的選擇性,從而甚至在離子植入製程之前損壞基板結構。此外,當硬光罩層114形成 在不平坦的上表面時,該上表面包含形成在基板上的突出半導體鰭板102與152,基板100的不平坦上表面通常導致無法精確執行微影術以及圖案化。且,硬光罩層114的耐用性不足通常造成離子非期望地穿透硬光罩層114到達基板的某些區域,因而污染基板100且最後導致元件失效以及較差的電子元件性能。
因此,需要以精確的製程控制來圖案化硬光罩層的改良方法,該硬光罩層適用於鰭式場效電晶體(FinFET)或其他半導體元件的離子植入製程。
本發明實施例提供一種以良好製程控制將用於離子植入製程之硬光罩層圖案化的方法,特別適用於製造半導體晶片用之鰭式場效電晶體(FinFET)。在一個實施例中,一種圖案化配置在基板上的硬光罩層的方法,包含:形成平坦化層於配置在基板上的硬光罩層上方;配置圖案化光阻劑層於平坦化層上方;在處理腔室中圖案化未被圖案化光阻劑層所覆蓋之平坦化層以及硬光罩層;曝露下層基板的第一部分;以及由基板移除平坦化層。
在另一實施例中,一種圖案化配置在基板上的硬光罩層的方法,包含:形成硬光罩層於基板上,其中基板包含形成在基板上的複數個半導體鰭板,由複數個淺溝槽隔離結構隔開複數個半導體鰭板;形成平坦化層於配置在基板上的硬光罩層上方,以在平坦化層上形成實質平坦的上表面;以 及使用配置在平坦化層的實質平坦上表面上方的圖案化光阻劑層來圖案化平坦化層與硬光罩層,直到曝露一部分形成在基板上的半導體鰭板。
在又一實施例中,一種圖案化配置在基板上的硬光罩層的方法,包含:在基板的硬光罩層上方旋塗平坦化層,其中基板包含形成在基板上的複數個半導體鰭板,由複數個淺溝槽隔離結構隔開複數個半導體鰭板;各向異性地圖案化由配置在平坦化層上的圖案化光阻劑層所曝露的一部分平坦化層與一部分硬光罩,以曝露一部分形成在基板上的半導體鰭板;由基板移除平坦化層,而曝露出殘留在基板上的硬光罩層;以及使用殘留在基板上的硬光罩層作為離子植入光罩來執行離子植入製程。
100‧‧‧基板
101‧‧‧NMOS元件區域
102‧‧‧半導體鰭板
103‧‧‧PMOS元件區域
104‧‧‧淺溝槽隔離結構
106‧‧‧閘極結構
112‧‧‧箭頭
114‧‧‧硬光罩層
148‧‧‧曝露部分
150‧‧‧鰭式場效電晶體
152‧‧‧半導體鰭板
168‧‧‧曝露部分
200‧‧‧處理腔室
202‧‧‧腔室主體
204‧‧‧蓋
206‧‧‧內部容積
208‧‧‧側壁
210‧‧‧底部
214‧‧‧內表面
226‧‧‧排氣口
228‧‧‧幫浦系統
230‧‧‧噴淋頭組件
232’‧‧‧進氣口
232”‧‧‧進氣口
234‧‧‧內部區域
236‧‧‧外部區域
238‧‧‧通道
240‧‧‧光監控系統
241‧‧‧匹配網路
242‧‧‧窗
243‧‧‧RF電源
248‧‧‧基板支撐底座組件
250‧‧‧控制器
252‧‧‧中央處理單元
254‧‧‧記憶體
256‧‧‧支撐電路
258‧‧‧氣體控制板
262‧‧‧安裝板材
264‧‧‧基座
266‧‧‧靜電夾具
268‧‧‧導管
270‧‧‧導管
272‧‧‧流體來源
274‧‧‧嵌入式絕緣體
276‧‧‧嵌入式加熱器
277‧‧‧遠端電漿源
278‧‧‧電源
280‧‧‧夾持電極
282‧‧‧夾具電源
284‧‧‧RF偏壓功率來源
286‧‧‧RF偏壓功率來源
288‧‧‧匹配電路
289‧‧‧偏壓功率來源
290‧‧‧溫度感測器
292‧‧‧溫度感測器
300‧‧‧圖案化製程
302‧‧‧方框
304‧‧‧方框
306‧‧‧方框
308‧‧‧方框
310‧‧‧方框
312‧‧‧方框
314‧‧‧方框
402‧‧‧硬光罩層
404‧‧‧平坦化層
406‧‧‧抗反射塗層
408‧‧‧圖案化光阻劑層
410‧‧‧第二型摻雜元件區域
411‧‧‧頂表面
412‧‧‧第一型摻雜元件區域
414‧‧‧一部分
416‧‧‧表面
418‧‧‧表面
420‧‧‧箭頭
452‧‧‧頂表面
454‧‧‧特徵
藉由參照實施例可得知以上簡短概述的本發明的更特定描述,因此可清楚理解本發明的前述特徵,其中部分本發明實施例說明於後附圖式中。然而,應注意到,後附圖式僅說明本發明的代表性實施例,因此,不應將後附圖式視為本發明範疇的限制,本發明可允許其他同等有效的實施例。
第1A圖描繪基板的示意透視圖,該基板包含形成在基板上的鰭式場效電晶體(FinFET)結構。
第1B圖描繪基板的橫截面圖,該基板包含形成在基板上的鰭式場效電晶體(FinFET)結構的一部分。
第2圖描繪一種設備,用於執行圖案化製程,以圖 案化硬光罩層。
第3圖描繪使用第2圖的設備來圖案化硬光罩層的方法流程圖,以及第4A至4G圖描繪圖案化硬光罩層的一個流程實施例,該硬光罩層形成在基板上且適用於離子植入製程。
為了幫助理解,儘可能使用相同的元件符號來表示該些圖式所共有的相同元件。應考量到,一個實施例的元件與特徵可有利地併入其他實施例中,而無需進一步解釋。
應注意到,後附圖式僅說明本發明的示例性實施例,因此,不應將後附圖式視為本發明範疇的限制,本發明可允許其他同等有效的實施例。
本發明提供圖案化硬光罩層的方法,該硬光罩層可用於離子植入製程,特別用於鰭式場效電晶體(FinFET)半導體結構。在一個實施例中,圖案化製程使用配置在硬光罩層上方的平坦化層,以提供實質平坦的表面,該平坦的表面可幫助在平坦化層上執行微影製程。藉由使用配置在硬光罩層上方的額外平坦化層,可在微影製程期間實現更精確的曝光。因此,可獲得蝕刻停止終點與蝕刻選擇性的良好控制,同時由具有期望輪廓的基板處移除一部分的硬光罩層,而不會在後續蝕刻製程期間損壞基板。
第2圖為處理腔室200的一個實施例的剖面圖,該處理腔室200適用於執行圖案化製程,以使用各向異性蝕刻 製程來蝕刻基板上的平坦化層以及硬光罩層。可經調適為與在此所揭露的教示一起使用的適合處理腔室包含,舉例來說,ENABLER®處理腔室,可購自位於加州聖大克勞拉市的應用材料公司。儘管圖示處理腔室200包含複數個特徵,該些特徵可實現較佳的蝕刻與修整性能,但應考量到可調適其他的處理腔室而受惠於在此所揭露的一或多個發明特徵。
處理腔室200包含腔室主體202與蓋204,包圍內部容積206。腔室主體202通常由鋁、不鏽鋼或其他適當材料所製成。腔室主體202通常包含側壁208與底部210。通常將基板支撐底座出入口(未圖示)界定在側壁208中並藉由流量閥選擇性地密封基板支撐底座出入口,以幫助基板100進出處理腔室200。排氣口226係界定在腔室主體202中並耦接內部容積206至幫浦系統228。幫浦系統228通常包含一或多個幫浦與閥門,用於抽空與調節處理腔室200的內部容積206的壓力。在一個實施例中,幫浦系統228維持內部容積206內的壓力在通常介於約10mTorr至約500Torr之間的操作壓力下。
將蓋204密封支撐在腔室主體202的側壁208上。可打開蓋204以容許過量的處理腔室200的內部容積106。蓋204包含窗242,可幫助光學製程監控。在一個實施例中,窗242由石英或其他適合材料所組成,該適合材料可傳遞光監控系統240所使用的訊號,該光監控系統240安置在處理腔室200的外側。
放置光監控系統240以透過窗242觀察腔室主體202 的內部容積206及/或配置在基板支撐底座組件248上的基板100的至少一者。在一個實施例中,光監控系統240與蓋204耦接,並幫助集成沉積製程,該光監控系統240使用光學測量法來提供實現製程調整的訊息,可視需求補償接踵而來的基板圖案化特徵的不一致性(例如,厚度等等),提供製程狀態監控(例如,電漿監控、溫度監控等等)。經調適為可受惠於本發明的一個光監控系統為EyeD®全光譜式干涉測量模組,可購自位於加州聖大克勞拉市的應用材料公司。
將氣體控制板258耦接至處理腔室200以提供製程氣體及/或清潔氣體至內部容積206。在描繪於第2圖的實施例中,將進氣口232’與232”提供在蓋204中,以允許氣體由氣體控制板258輸送至處理腔室200的內部容積206。在一個實施例中,調適氣體控制板258以提供氟化製程氣體通過進氣口232’與232”至處理腔室200的內部容積206中。在一個實施例中,由氣體控制板258提供的製程氣體包含下列至少一者:氟化氣體、氯氣、含碳氣體、氧氣、含氮氣體以及含氯氣體。氟化與含碳氣體的實例包含:CHF3、CH2F2以及CF4。其他氟化氣體可包含下列一或多者:C2F、C4F6、C3F8以及C5F8。含氧氣體的實例包含:O2、CO2、CO、N2O、NO2、O3、H2O等等。含氮氣體的實例包含:N2、NH3、N2O、NO2等等。含氯氣體的實例包含:HCl、Cl2、CCl4、CHCl3、CH2Cl2、CH3Cl等等。含碳氣體的適合實例包含:甲烷(CH4)、乙烷(C2H6)、乙烯(C2H4)等等。
將噴淋頭組件230耦接至蓋204的內表面214。噴 淋頭組件230包含複數個孔,該些孔允許以沿著將在處理腔室200中處理的基板100表面的預定分佈方式將氣體由進氣口232’與232”流動通過噴淋頭組件230到達處理腔室200的內部容積206中。
可將遠端電漿源277選擇性耦接至氣體控制板258,用以在氣體混合物進入內部容積206進行處理之前幫助解離來自遠端電漿的氣體混合物。透過匹配網路241將RF電源243耦接至噴淋頭組件230。RF電源243通常在約50kHz至約200MHz範圍間的可調式頻率下能夠產生高達約3000W的電力。
噴淋頭組件230額外包含傳遞光學測量訊號的區域。光學傳遞區域或通道238適用於允許光監控系統240觀察內部容積206及/或放置在基板支撐底座組件248上的基板100。通道238可為一種材料、一孔口或形成或配置在噴淋頭組件230中的複數個孔口,通道238可實質傳遞由光監控系統240所產生的能量波長以及反射回光監控系統240的能量波長。在一個實施例中,通道238包含窗242,以避免氣體洩漏穿過通道238。窗242可為藍寶石板材、石英板材或其他適合材料。或者,可將窗242配置在蓋204中。
在一個實施例中,將噴淋頭組件230配置為具有複數個區域,該些區域允許個別控制氣體流入處理腔室200的內部容積206中。在第2圖的實施例中,噴淋頭組件230為內部區域234與外部區域236,該內部區域234與該外部區域236透過個別的進氣口232’與232”耦接至氣體控制板258。
將基板支撐底座組件248配置在氣體分配(噴淋頭)組件230下方的處理腔室200的內部容積206中。基板支撐底座組件248在處理期間固持基板100。基板支撐底座組件248通常包含複數個升舉銷(未圖示),該些升舉銷配置穿過基板支撐底座組件248,且配置該些升舉銷由基板支撐底座組件248提起基板100,並幫助利用機器人(未圖示)以傳統方式交換基板100。內部襯墊218可緊密地外接基板支撐底座組件248的周圍。
在一個實施例中,基板支撐底座組件248包含安裝板材262、基座264以及靜電夾具266。將安裝板材262耦接至腔室主體202的底部210,安裝板材262包含通道,以供至基座264與靜電夾具166的傳輸路徑使用(routing utility),例如,流體、電力線、探測器引線以及其他。靜電夾具266包含至少一個夾持電極280,用於將基板100保持在噴淋頭組件230下方。藉由夾具電源282來驅動靜電夾具266,以產生靜電力,該靜電力固持基板100至夾具表面,如一般所習知的。或者,可藉由夾持、真空或重力的方式將基板100保持在基板支撐底座組件248。
基座264或靜電夾具266的至少一者可包含至少一個可選的嵌入式加熱器276、至少一個可選的嵌入式絕緣體274以及複數個導管268與270,以控制基板支撐底座組件248的側向溫度分佈。導管268與270流體耦接至流體來源272,該流體來源272循環溫度調節流體通過導管268與270。由電源278來調節加熱器276。使用導管268與270以及加熱器 276來控制基座264的溫度,因而加熱及/或冷卻靜電夾具266,最後加熱及/或冷卻配置在靜電夾具266上的基板100的溫度分佈。可使用複數個溫度感測器290與292來監控靜電夾具266與基座264的溫度。靜電夾具266可進一步包含複數個氣體通道(未圖示),例如溝槽,該些氣體通道形成在夾具266的基板支撐底座支撐表面上且流體耦接至熱轉換(或背側)氣體來源,例如,氦氣。在操作中,在受控壓力下提供背側氣體至氣體通道中,以促進靜電夾具266與基板100之間的熱轉換。
在一個實施例中,配置基板支撐底座組件248為陰極,且基板支撐底座組件248包含電極280,該電極280耦接至複數個RF偏壓功率來源284與286。將RF偏壓功率來源284與286耦接於配置在基板支撐底座組件248中的電極280與其他電極之間,其他電極諸如腔室主體202的噴淋頭組件230或頂棚(蓋204)。RF偏壓功率激發並維持電漿放電,該電漿放電由配置在腔室主體202的處理區域中的氣體所形成。
在描繪於第2圖的實施例中,將雙RF偏壓功率來源284與286透過匹配電路288耦接至配置在基板支撐底座組件248中的電極280。將RF偏壓功率284與286所產生的訊號透過匹配電路188傳送至基板支撐底座組件248,透過單一餽入來離子化提供在電漿處理腔室200中的氣體混合物,因而提供執行沉積或電漿輔助製程所需的離子能量。通常RF偏壓功率來源284與286能夠產生RF訊號,該RF訊號具有 介於約50kHz至約200MHz之間的頻率以及介於約0Watt至約5000Watt之間的功率。可將額外的偏壓功率來源289耦接至電極280,以控制電漿特性。
在一個操作模式中,將基板100配置在電漿處理腔室200的基板支撐底座組件248中。將製程氣體及/或氣體混合物由氣體控制板258透過噴淋頭組件230導入腔室主體202中。真空幫浦系統228維持腔室主體202內的壓力,同時移除沉積副產物。
將控制器250耦接至處理腔室200,以控制處理腔室200的操作。控制器250包含中央處理單元(CPU)252、記憶體254以及支撐電路256,用於控制製程順序以及調節來自氣體控制板258的氣體流動。CPU 252可為任何形式的一般用途電腦處理器,可用在工業設定。軟體常式可儲存在記憶體254中,例如,隨機存取記憶體、唯讀記憶體、磁碟片或硬碟驅動器、或其他形式的數位儲存器。傳統上將支撐電路256耦接至CPU 252,且支撐電路256可包含快取記憶體、時脈電路、輸入/輸出系統、電源等等。透過多個訊號傳輸線來處理控制器250與處理系統200的各種組件之間的雙向溝通。
第3圖為圖案化製程300的一個實施例的流程圖,可在腔室200或其他適合處理腔室中實施圖案化製程300。第4A至4G圖為對應於製程300的各個階段的一部分複合基板的橫截面示意圖。可使用製程300來形成配置在基板上的鰭式場效電晶體(FinFET),在基板的不同區域中摻雜有摻雜 劑,供鰭式場效電晶體(FinFET)半導體元件使用。或者,可受益地使用製程300來蝕刻其他種類的結構。
製程300開始於方框302,傳送(亦即,提供)基板,例如基板100,該基板具有複數個半導體鰭板102與152形成在基板上。如前所述,可由淺溝槽隔離(STI)結構104隔開半導體鰭板102與152。在一個實施例中,基板100可為諸如下列的材料:晶態矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽化鍺、摻雜的或未摻雜的多晶矽、摻雜的或未摻雜的矽晶圓以及圖案化或未圖案化的矽覆絕緣(SOI)晶圓、摻雜碳的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石。基板100可具有各種尺寸,例如,200mm、300mm、450mm或其他直徑的晶圓,以及矩形平板或方形平板。除非另有說明,在此所描述的實施例與實例係執行於具有200mm直徑、300mm直徑或450mm直徑的基板。在SOI結構用於基板100的實施例中,基板100可包含埋藏介電層,配置在晶態矽基板上。在此所描繪的實施例中,基板100可為晶態矽基板。
形成在基板100上的複數個半導體鰭板102與152可為基板100的一部分,該半導體鰭板102與152由基板100向上延伸並使用淺溝槽隔離(STI)結構104來隔開每個半導體鰭板102與152。半導體鰭板102與152可形成在淺溝槽隔離(STI)結構104的頂表面411上方。在一個實施例中,半導體鰭板102與152可為摻雜的矽層、晶態矽層、矽化鍺以及III-V族材料或任何適合種類的含矽層。在另一實施例中, 半導體鰭板102與152可為使用此領域中的適合技術所配置在基板100上的單獨形成結構。淺溝槽隔離(STI)結構104可由絕緣材料所形成,例如,氧化矽材料或其他介電層。
在一個實施例中,基板100可具有形成在基板100中的第一型摻雜元件區域412(諸如n-型摻雜區域)以及第二型摻雜元件區域410(諸如p-型摻雜區域),以具有半導體鰭板102與152,該第一型摻雜元件區域412與第二型摻雜元件區域410經調適成具有不同種類的摻雜劑,該不同種類的摻雜劑將配置於第一型摻雜元件區域412與第二型摻雜元件區域410中。在一個實施例中,第一型摻雜元件區域412可為NMOS元件區域且第二型摻雜元件區域410可為PMOS元件區域。在基板100的不同區域410與412中,可調適不同種類的摻雜劑,例如p-型摻雜劑或n-型摻雜劑,摻雜於基板100的不同區域410與412中,以製造具有不同傳導性的摻雜區域。以下將更詳細描述關於摻雜劑如何摻雜在基板100的不同區域中的細節。
在方框304處,硬光罩層402可形成在基板100上,如第4B圖所示。在一個實施例中,硬光罩層402可共形形成並覆蓋基板100的實質整體表面。硬光罩層402可作為光罩層,以允許僅將摻雜劑植入基板100的某些區域中,同時在離子植入製程期間由硬光罩層402保護基板100的其他部分。
在一個實施例中,硬光罩層402為非晶碳層,具有或不具有摻雜劑摻雜於非晶碳層中。非晶碳層的一個實例可為先進圖樣薄膜TM(APF),可購自應用材料公司。摻雜的 非晶碳層的另一實例可為TOPAZTM碳硬光罩層,可購自應用材料公司。可摻雜在非晶碳層中的適合摻雜劑包含:As、B、P、H、N等等。在此所描述的一個實施例中,硬光罩層402可為非晶碳層,具有氮摻雜劑摻雜於非晶碳層中。在一個實施例中,硬光罩層402的厚度介於約100Å至約1000Å之間。
在一個實施例中,硬光罩層402可視需求由下列方式形成:化學氣相沉積(CVD)、原子層沉積(ALD)、循環層沉積(CLD)、物理氣相沉積(PVD)等等。在一個特定實施例中,在沉積製程期間,將惰性氣體與碳氫化合物一起供應至處理腔室中,以形成作為非晶碳層的硬光罩層402,該惰性氣體例如為氬氣(Ar)或氦氣(He),而該碳氫化合物例如為丙烷(C3H6)或乙炔。
在方框306處,接著可形成平坦化層404於硬光罩層402上方,如第4C圖所示。平坦化層404可為有機聚合物材料,旋塗在硬光罩層402上方。可將平坦化層404旋塗在非平坦的基板表面上(亦即,硬光罩層402的上表面),該平坦化層404具有足夠的厚度可產生平坦化層404的實質平坦的頂表面452。在一個實施例中,適用於形成平坦化層404的有機聚合物材料包括含碳氫材料。碳氫材料的適合實例包含光阻劑材料、自旋塗佈玻璃(SOG)材料等等。在一個實例中,光阻劑材料可為正型光阻劑、負型光阻劑、紫外線微影光阻劑、I-line光阻劑、G-line光阻劑、電子束光阻劑(例如,化學增幅光阻劑(CAR))或其他適合的光阻劑。
在一個實施例中,可將平坦化層404塗佈在硬光罩 層402上,該平坦化層404的厚度介於約20nm至約800nm之間,例如,介於約100nm至約400nm之間。認為提供用於平坦化層404的有機聚合物材料可具有自動水平平坦化性質,使得塗佈在硬光罩層402上的平坦化層404可均勻覆蓋形成在基板上的非均勻外形結構。藉此,來自基板100的非均勻外形表面可被平坦化或扁平的頂表面452所覆蓋,以幫助後續微影製程能夠以準確與精確的尺寸控制的方式將特徵轉印至基板100的結構中。
在一個實施例中,可將平坦化層404旋塗在基板表面上。在另一實施例中,可視需求使用下列方式將平坦化層404塗佈在基板上:注入噴塗沉積系統、噴塗沉積系統、氣膠沉積(AD)製程、空氣噴射(aerojet)、奈米粒子溶液噴塗、噴塗化學氣相沉積(CVD)、噴墨、凹面塗佈(meniscus coating)、浸塗、電鍍、噴塗、電噴塗、網印或其他適合的技術。
在平坦化層404沉積在基板100上之後,可執行烘烤或加熱製程來硬化平坦化層404。可將基板100放置在烤箱或是熱板材上,以提供足以硬化平坦化層404的足夠高的溫度,假如需要的話,讓平坦化層404重流,使得平坦化層404的頂表面452成為實質平坦的形式。
在方框308處,將平坦化層404配置在基板100上,以提供平坦的頂表面452,接著可將圖案化光阻劑層408形成在基板100上,界定圖案化光阻劑層408中的特徵454以曝露平坦化層404的一部分414(例如,第二型摻雜元件區域 410),用於在後續製程期間進行蝕刻,如第4D圖所示。可將可選的抗反射塗層(ARC層)406形成在光阻劑層408與平坦化層404之間,以幫助轉印特徵至平坦化層404以及硬光罩層402。可選的抗反射塗層406包含ARC層,該ARC層包含矽光罩或碳光罩,或矽光罩和碳光罩的組合。不同材料可具有不同蝕刻劑以進行蝕刻。可根據不同元件需求將圖案化光阻劑層408形成在基板100上,以曝露基板的任何期望區域,包含第一型摻雜元件區域412、第二型摻雜元件區域410或基板上的任何適合位置。
應注意到,可視需求利用任何適合的光微影技術與蝕刻製程將圖案化光阻劑層408形成在基板上。
在方框310處,由基板100移除圖案化光阻劑層408所曝露的一部分的平坦化層404(例如,還有可選的ARC層406)以及下層硬光罩層402,如第4E圖所示。使用蝕刻製程來移除一部分的平坦化層404以及下層硬光罩層402,而曝露下層半導體鰭板152的表面416以及STI結構104的表面418,用於進行植入製程,之後將參照第4G圖說明該植入製程。在蝕刻製程期間,可消耗圖案化光阻劑層408、由圖案化光阻劑層408所保護的可選的ARC層406以及一部分的平坦化層404,而在蝕刻製程後,在基板100上留下部分的平坦化層404(例如,保護於圖案化光阻劑層408下方)。
在一個實施例中,如方框310所執行的蝕刻製程可為單一蝕刻步驟製程或多步驟蝕刻製程。在使用單一蝕刻步驟製程的實施例中,選擇蝕刻製程為具有高蝕刻能力,以在 單一處理腔室的單一步驟中蝕刻平坦化層404以及下層硬光罩層402兩者。在使用多步驟蝕刻製程的另一實施例中,可在單一腔室或在不同腔室中使用不同製程參數來個別蝕刻平坦化層404以及硬光罩層402。
在一個實施例中,使用相同製程前驅物與製程參數一步驟蝕刻平坦化層404以及硬光罩層402。藉由將氣體混合物供應至處理腔室中來執行蝕刻製程,該處理腔室例如為描繪於第3圖的處理腔室200。氣體混合物包含至少一種含氧氣體,以各向異性地蝕刻配置在基板100上的平坦化層404以及硬光罩層402。可施加RF功率至氣體混合物以形成電漿來蝕刻平坦化層404以及硬光罩層402,以獲得形成在平坦化層404與硬光罩層402中的特徵454的垂直蝕刻輪廓。在一個實施例中,RF功率可包含頻率為約162MHz的RF電源以及頻率為約60MHz的可選的RF偏壓功率。
在處理期間,可供應含氧氣體來蝕刻平坦化層404以及硬光罩層402。當平坦化層404以及硬光罩層402可能為碳基材料時,可使用含氧氣體來蝕刻平坦化層404以及硬光罩層402。亦可將載氣或一些其他氣體添加至氣體混合物中,以輔助運載氣體至處理腔室中以進行處理,並促進完全反應。載氣的適合實例包含:N2、O2、N2O、NO2、NH3、H2O、H2、O3等等。在示例性實施例中,用於氣體混合物中的氟與碳基氣體為O2和N2,以蝕刻平坦化層404以及硬光罩層402。
或者,氣體混合物包含至少一種氟與碳基氣體。藉由氣體混合物所形成的電漿解離氟與碳基氣體成為反應性蝕 刻劑。由氣體混合物中的氟與碳基氣體所解離的氟離子可透過由圖案化光阻劑層408所界定的開放特徵454與平坦化層404以及硬光罩層402反應與接觸。氟與碳基氣體的適合實例可包含:C4F6、C4F8、C2F2、CF4、CHF3、C2F6、C4F6、C5F8、CH2F2、SF6、NF3、O2、N2等等。在示例性實施例中,用於氣體混合物中的氟與碳基氣體為O2和N2,以蝕刻平坦化層404以及硬光罩層402。亦可將載氣或一些其他氣體添加至氣體混合物中,以輔助運載氣體至處理腔室中以進行處理,並促進完全反應。載氣的適合實例包含:N2、O2、N2O、NO2、NH3、H2O、H2、O3等等。
可選擇性地將惰性氣體與氣體混合物一起供應,以輔助運載氣體混合物至蝕刻腔室中。惰性氣體的適合實例包含:氮氣(N2)、氬氣(Ar)、氦氣(He)、氙氣(Xe)與氪氣(Kr)。
調節一些製程參數,同時將蝕刻氣體混合物供應至施加RF功率的蝕刻腔室中。在一個實施例中,將腔室壓力調節在介於約5mTorr至約400mTorr之間,例如,約40mTorr。可施加約200Watt至約3000Watt的RF電源至電容耦合天線來源,以維持蝕刻腔室內的電漿。可施加約200Watt至約10000Watt的RF偏壓功率至處理腔室中。以介於約30sccm至約300sccm之間的速率將氟基氣體流入腔室中。將基板溫度維持在介於約-10℃至約60℃之間。
在方框312處,將配置在第二型摻雜元件區域410的一部分平坦化層404與下層硬光罩層402移除之後,可由 基板移除配置在第一型摻雜元件區域412的平坦化層404的剩餘部分,如第4F圖所示,而曝露出圖案化硬光罩層402作為離子植入光罩,供後續離子植入製程使用。在一個實施例中,可藉由使用含氧氣體的灰製程來移除平坦化層404,以由基板100移除平坦化層404的剩餘部分。當方框312的平坦化層404的移除不需要高方向性以及選擇性時(例如,平坦化層404的有機材料與來自硬光罩層402以及下層半導體鰭板102與152以及相鄰的STI結構104的材料之間的膜性質差異非常大),可接著在此步驟中使用各向同性蝕刻製程,例如,灰製程,而由第一型摻雜元件區域412中的基板100移除剩餘平坦化層404。
在一個實施例中,藉由供應灰氣體混合物至處理腔室中來執行灰製程,而與基板100上的移除剩餘平坦化層404反應,該灰氣體混合物包含至少一種的含氧氣體或含氫氣體或惰性氣體,該處理腔室例如為處理腔室200。由灰氣體混合物所供應的含氧氣體、含氫氣體或惰性氣體形成氧化碳氣體、碳氫氣體或其他含碳副產物,與剩餘平坦化層404反應,該些氣體可被抽出腔室。在一個實施例中,可用於執行灰製程的灰氣體混合物包含:O2、H2、N2、H2O、He、Ar、O3等等。
在灰製程期間,可調節一些製程參數來控制灰製程。在一個示例性實施例中,將真空處理腔室中的製程壓力調節在介於約10mTorr至約2000mTorr之間,例如,約80mTorr。可施加RF電源來維持蝕刻氣體混合物中的電漿。舉 例來說,可施加約100Watt至約200Watt的功率來維持真空處理腔室內的電漿。可以介於約20sccm至約5000sccm之間的速率將灰氣體混合物流入腔室中。將基板溫度維持在介於約15℃至約300℃之間。
在方框314處,將圖案化硬光罩層402曝露於基板100上後,可接著執行離子植入製程,以將離子選擇性地植入未被圖案化硬光罩層402所覆蓋的僅有區域,例如第二型摻雜元件區域410,如描繪在第4G圖中的箭頭420。圖案化硬光罩層402可有效保護由圖案化硬光罩層402所覆蓋的部分第一型摻雜元件區域412,避免離子植入部分第一型摻雜元件區域412,同時曝露未被圖案化硬光罩層402所覆蓋的部分第二型摻雜元件區域410,以使期望離子植入部分第二型摻雜元件區域410。相信圖案化硬光罩層402具有在離子植入製程期間可有效阻擋/屏蔽離子滲入基板100的膜性質。如前所述,在一個實施例中,在此所描述的硬光罩層402為非晶碳層、摻雜的非晶碳層等等。可使用硬光罩層402作為植入光罩而摻雜在基板100中的離子可為下列至少一者:n型摻雜劑(例如,在基板的鰭板結構中的As摻雜劑)或p型摻雜劑(例如,用於基板的B摻雜劑)。在離子植入製程完成之後,可由基板100移除殘留在基板上的硬光罩層402。
因此,在此提供於半導體基板上形成圖案化硬光罩層的方法,該圖案化硬光罩層作為離子植入光罩。在圖案化硬光罩期間,該圖案化硬光罩層可使用配置在圖案化硬光罩層上的平坦化層,以在微影製程期間提供良好的平坦表面, 而有助於更準確且更精確地轉印特徵於平坦化層與硬光罩層中。藉此,可使用由平坦化層所轉印的特徵並以良好的輪廓與尺寸控制的方式成功地圖案化硬光罩層,而有助於在後續離子植入製程期間提供良好的離子植入光罩。因此,獲得改良的圖案化硬光罩層製程,而獲得小尺寸的良好特徵輪廓,特別應用於半導體鰭式場效電晶體(FinFET)。
儘管前述內容是關於本發明的實施例,但可設計本發明的其他或進一步實施例,而不會偏離本發明的基本範疇,且由後附申請專利範圍來確認本發明範疇。
100‧‧‧基板
102‧‧‧半導體鰭板
104‧‧‧淺溝槽隔離結構
152‧‧‧半導體鰭板
402‧‧‧硬光罩層
410‧‧‧第二型摻雜元件區域
412‧‧‧第一型摻雜元件區域
420‧‧‧箭頭

Claims (20)

  1. 一種圖案化配置在一基板上的一硬光罩層的方法,包含:形成一平坦化層於配置在一基板上的一硬光罩層上;配置一圖案化光阻層於該平坦化層上;在一處理腔室中圖案化未被該圖案化光阻層所覆蓋的該平坦化層與該硬光罩層,曝露該下層基板的一第一部分;以及由該基板移除該平坦化層。
  2. 如請求項1所述之方法,其中圖案化該平坦化層與該硬光罩層的步驟進一步包含:在該處理腔室中乾式蝕刻未被該圖案化光阻層所覆蓋的該平坦化層與該硬光罩層。
  3. 如請求項1所述之方法,其中圖案化該平坦化層與該硬光罩層的步驟進一步包含:在一個處理腔室中各向異性地圖案化未被該圖案化光阻層所覆蓋的該平坦化層與該硬光罩層。
  4. 如請求項1所述之方法,其中由該基板移除該平坦化層的步驟進一步包含:維持被該基板上的該圖案化光阻層所覆蓋的該基板上的該硬光罩層的一部分,而覆蓋該下層基板的一第二部分,同時曝露該下層基板的該第一部分。
  5. 如請求項1所述之方法,其中由該基板移除該平坦化層的步驟進一步包含:執行一灰製程,而由該基板剝除該平坦化層。
  6. 如請求項1所述之方法,進一步包含:使用殘留在該基板上的該硬光罩層作為一離子植入光罩來執行一離子植入製程,以將離子植入該下層基板的該曝露的第一部分。
  7. 如請求項1所述之方法,其中形成該平坦化層於該硬光罩層上的步驟更進一步包含:旋塗該平坦化層於該硬光罩層上。
  8. 如請求項1所述之方法,其中該平坦化層為一有機聚合物材料。
  9. 如請求項8所述之方法,其中該有機聚合物材料係選自由下列所組成的群組:光阻劑材料與自旋塗佈玻璃(SOG)材料。
  10. 如請求項1所述之方法,其中該硬光罩層為一非晶碳層或一摻雜的非晶碳層。
  11. 如請求項10所述之方法,其中該摻雜的非晶碳層具有摻雜劑摻雜在該摻雜的非晶碳層中,其中該摻雜劑係選自由下列所組成的群組:As、H、B與N。
  12. 如請求項1所述之方法,其中該基板包含複數個半導體鰭板形成在該基板上,且由複數個淺溝槽隔離結構隔開該些半導體鰭板。
  13. 如請求項1所述之方法,其中形成該平坦化層於該硬光罩層上的步驟更進一步包含:提供一平坦表面於該基板上,以允許該圖案化光阻劑層形成於該平坦表面上。
  14. 一種圖案化配置在一基板上的一硬光罩層的方法,包含:形成一硬光罩層於一基板上,其中該基板包含複數個半導體鰭板形成在該基板上,且由複數個淺溝槽隔離結構隔開該些半導體鰭板;形成一平坦化層於配置在一基板上的該硬光罩層上,以形成一實質平坦的上表面於該平坦化層上;以及使用配置在該平坦化層的該實質平坦上表面上方的一圖案化光阻劑層來圖案化該平坦化層與該硬光罩層,直到曝露形成在該基板上的該半導體鰭板的一部分。
  15. 如請求項14所述之方法,其中形成該平坦化層的步驟更 進一步包含:旋塗該平坦化層於該硬光罩層上。
  16. 如請求項14所述之方法,其中該平坦化層為一有機聚合物材料,該有機聚合物材料係選自由下列所組成的群組:光阻劑材料與自旋塗佈玻璃(SOG)材料。
  17. 如請求項14所述之方法,其中該硬光罩層為一非晶碳層或一摻雜的非晶碳層。
  18. 如請求項14所述之方法,進一步包含:移除殘留在該基板上的該平坦化層,而曝露殘留在該基板上的該硬光罩層的一部分;以及在一離子植入製程期間使用殘留在該基板上的該硬光罩層作為一離子植入光罩。
  19. 如請求項14所述之方法,其中圖案化該平坦化層與該硬光罩層的步驟進一步包含:各向異性地蝕刻該平坦化層與該硬光罩層。
  20. 一種圖案化配置在一基板上的一硬光罩層的方法,包含:旋塗一平坦化層於一基板的一硬光罩層上,其中該基板包含複數個半導體鰭板形成在該基板上,且由複數個淺溝槽隔離結構隔開該些半導體鰭板; 各向異性地圖案化由配置在該平坦化層上的一圖案化光阻層所曝露的該平坦化層的一部分與該硬光罩層的一部分,以曝露形成在該基板上的該半導體鰭板的一部分;由該基板移除該平坦化層,而曝露殘留在該基板上的該硬光罩層;以及使用殘留在該基板上的該硬光罩層作為一離子植入光罩來執行一離子植入製程。
TW103132636A 2013-10-24 2014-09-22 將用於離子植入製程之硬光罩層圖案化的方法 TW201517122A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/062,638 US20150118832A1 (en) 2013-10-24 2013-10-24 Methods for patterning a hardmask layer for an ion implantation process

Publications (1)

Publication Number Publication Date
TW201517122A true TW201517122A (zh) 2015-05-01

Family

ID=52993342

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103132636A TW201517122A (zh) 2013-10-24 2014-09-22 將用於離子植入製程之硬光罩層圖案化的方法

Country Status (3)

Country Link
US (1) US20150118832A1 (zh)
TW (1) TW201517122A (zh)
WO (1) WO2015060929A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI618140B (zh) * 2015-12-31 2018-03-11 Advanced Micro Fab Equip Inc Inductively coupled plasma processor
TWI726034B (zh) * 2016-01-20 2021-05-01 美商應用材料股份有限公司 基板處理方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9129905B2 (en) * 2013-11-04 2015-09-08 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
US9184087B2 (en) 2013-12-27 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US9799565B2 (en) 2014-12-24 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with gate
KR102368068B1 (ko) 2015-08-24 2022-02-25 삼성전자주식회사 반도체 소자 제조용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
US9679746B2 (en) * 2015-10-28 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implantation tool and ion implantation method
US9947592B2 (en) * 2015-11-16 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
JP6977474B2 (ja) * 2017-10-23 2021-12-08 東京エレクトロン株式会社 半導体装置の製造方法
TWI745770B (zh) * 2018-11-07 2021-11-11 美商應用材料股份有限公司 使用灰調微影術及傾斜蝕刻的深度調節傾斜光柵

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5358776A (en) * 1992-01-31 1994-10-25 The United States Of America As Represented By The Secretary Of The Air Force Lightweight aerogel reflector
US5858865A (en) * 1995-12-07 1999-01-12 Micron Technology, Inc. Method of forming contact plugs
US5728627A (en) * 1996-11-14 1998-03-17 Samsung Electronics Co., Ltd. Methods of forming planarized conductive interconnects for integrated circuits
JP3528665B2 (ja) * 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
DE10010286A1 (de) * 2000-02-25 2001-09-13 Infineon Technologies Ag Verfahren zum Auffüllen von Vertiefungen in einer Oberfläche einer Halbleiterstruktur und eine auf diese Weise aufgefüllte Halbleiterstruktur
KR100790965B1 (ko) * 2002-03-09 2008-01-02 삼성전자주식회사 링 디펙트를 방지하기 위한 반도체 소자 및 그 제조방법
US7825488B2 (en) * 2006-05-31 2010-11-02 Advanced Analogic Technologies, Inc. Isolation structures for integrated circuits and modular methods of forming the same
DE10314274B3 (de) * 2003-03-29 2004-09-16 Infineon Technologies Ag Verfahren zum Herstellen einer Kontaktlochebene in einem Speicherbaustein
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
TWI223870B (en) * 2003-06-27 2004-11-11 Nanya Technology Corp Method of forming capacitors having geometric deep trench
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7141511B2 (en) * 2004-04-27 2006-11-28 Micron Technology Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
KR100605104B1 (ko) * 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
US7579280B2 (en) * 2004-06-01 2009-08-25 Intel Corporation Method of patterning a film
EP1792222A1 (en) * 2004-09-21 2007-06-06 BAE Systems PLC Heat dissipating layers in de formable mirrors
US7327008B2 (en) * 2005-01-24 2008-02-05 International Business Machines Corporation Structure and method for mixed-substrate SIMOX technology
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7828987B2 (en) * 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
EP1892765A1 (en) * 2006-08-23 2008-02-27 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) Method for doping a fin-based semiconductor device
KR100825778B1 (ko) * 2006-09-28 2008-04-29 삼성전자주식회사 듀얼 스트레스 라이너를 구비하는 반도체 소자의 제조방법
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US7800182B2 (en) * 2006-11-20 2010-09-21 Infineon Technologies Ag Semiconductor devices having pFET with SiGe gate electrode and embedded SiGe source/drain regions and methods of making the same
US7825477B2 (en) * 2007-04-23 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with localized stressor
JP2009054705A (ja) * 2007-08-24 2009-03-12 Toshiba Corp 半導体基板、半導体装置およびその製造方法
KR20090029040A (ko) * 2007-09-17 2009-03-20 삼성전자주식회사 반도체 패턴의 형성 방법
US20090174036A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
US7700449B2 (en) * 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
US20090325106A1 (en) * 2008-06-27 2009-12-31 Conley Willard E Method for Implant Imaging with Spin-on Hard Masks
US8980706B2 (en) * 2008-09-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double treatment on hard mask for gate N/P patterning
JP5278022B2 (ja) * 2009-02-17 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
US7955928B2 (en) * 2009-03-30 2011-06-07 International Business Machines Corporation Structure and method of fabricating FinFET
US9059318B2 (en) * 2009-08-31 2015-06-16 International Business Machines Corporation Stressed source/drain CMOS and method of forming same
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
US7993999B2 (en) * 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8557692B2 (en) * 2010-01-12 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET LDD and source drain implant technique
US8609497B2 (en) * 2010-02-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of dual EPI process for semiconductor device
US8278179B2 (en) * 2010-03-09 2012-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. LDD epitaxy for FinFETs
KR101258260B1 (ko) * 2010-04-16 2013-04-25 엘지디스플레이 주식회사 유기전계발광표시장치
US8394710B2 (en) * 2010-06-21 2013-03-12 International Business Machines Corporation Semiconductor devices fabricated by doped material layer as dopant source
US20120032267A1 (en) * 2010-08-06 2012-02-09 International Business Machines Corporation Device and method for uniform sti recess
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
KR101085630B1 (ko) * 2010-12-15 2011-11-22 주식회사 하이닉스반도체 반도체 소자의 패턴 형성방법
US8350365B1 (en) * 2011-01-13 2013-01-08 Xilinx, Inc. Mitigation of well proximity effect in integrated circuits
US20120238108A1 (en) * 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8236634B1 (en) * 2011-03-17 2012-08-07 International Business Machines Corporation Integration of fin-based devices and ETSOI devices
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US20130049134A1 (en) * 2011-08-30 2013-02-28 Renesas Electronics Corporation Semiconductor device and method of making same
US20130084685A1 (en) * 2011-09-30 2013-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Ion Implantation
CN103843119A (zh) * 2011-09-30 2014-06-04 英特尔公司 非平面晶体管鳍状物制造
KR101860493B1 (ko) * 2011-10-20 2018-05-24 삼성디스플레이 주식회사 미세 패턴 마스크의 형성 방법 및 이를 이용한 미세 패턴의 형성 방법
US8791017B2 (en) * 2011-10-26 2014-07-29 Globalfoundries Inc. Methods of forming conductive structures using a spacer erosion technique
US8871575B2 (en) * 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8574995B2 (en) * 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8853826B2 (en) * 2012-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for bipolar junction transistors and resistors
KR101948222B1 (ko) * 2012-06-15 2019-02-14 에스케이하이닉스 주식회사 홀 패터닝을 위한 마스크패턴 및 그를 이용한 반도체장치 제조 방법
US8580634B1 (en) * 2012-09-11 2013-11-12 Globalfoundries Inc. Methods of forming 3-D semiconductor devices with a nanowire gate structure wherein the nanowire gate structure is formed prior to source/drain formation
US20140113420A1 (en) * 2012-10-24 2014-04-24 Globalfoundries Inc. Methods of avoiding shadowing when forming source/drain implant regions on 3d semiconductor devices
US8722494B1 (en) * 2012-11-01 2014-05-13 International Business Machines Corporation Dual gate finFET devices
US9337314B2 (en) * 2012-12-12 2016-05-10 Varian Semiconductor Equipment Associates, Inc. Technique for selectively processing three dimensional device
US8809171B2 (en) * 2012-12-28 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs having multiple threshold voltages
US8896067B2 (en) * 2013-01-08 2014-11-25 International Business Machines Corporation Method of forming finFET of variable channel width
US8936986B2 (en) * 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
US9287109B2 (en) * 2013-03-13 2016-03-15 Globalfoundries Inc. Methods of forming a protection layer to protect a metal hard mask layer during lithography reworking processes
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US8999792B2 (en) * 2013-03-15 2015-04-07 Qualcomm Incorporated Fin-type semiconductor device
US9087724B2 (en) * 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
JP6224818B2 (ja) * 2013-03-30 2017-11-01 インテル・コーポレーション 集積回路および集積回路を形成する方法
KR20140145419A (ko) * 2013-06-13 2014-12-23 삼성전자주식회사 반도체 소자 제조 방법
KR102090713B1 (ko) * 2013-06-25 2020-03-19 삼성디스플레이 주식회사 가요성 표시 패널 및 상기 가요성 표시 패널의 제조 방법
EP2843696A1 (en) * 2013-08-27 2015-03-04 IMEC vzw A method for dopant implantation of FinFET structures
CN103413765B (zh) * 2013-08-27 2016-08-10 矽力杰半导体技术(杭州)有限公司 沟槽mosfet器件及其制作方法
US9142650B2 (en) * 2013-09-18 2015-09-22 Taiwan Semiconductor Manufacturing Company Limited Tilt implantation for forming FinFETs
JP2015060780A (ja) * 2013-09-20 2015-03-30 株式会社東芝 表示装置の製造方法及び製造システム
KR102222909B1 (ko) * 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US9418867B2 (en) * 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
US8987083B1 (en) * 2014-03-10 2015-03-24 Globalfoundries Inc. Uniform gate height for semiconductor structure with N and P type fins
US9209038B2 (en) * 2014-05-02 2015-12-08 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI618140B (zh) * 2015-12-31 2018-03-11 Advanced Micro Fab Equip Inc Inductively coupled plasma processor
TWI726034B (zh) * 2016-01-20 2021-05-01 美商應用材料股份有限公司 基板處理方法

Also Published As

Publication number Publication date
WO2015060929A1 (en) 2015-04-30
US20150118832A1 (en) 2015-04-30

Similar Documents

Publication Publication Date Title
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
US9214377B2 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
TWI492298B (zh) 雙重圖案化蝕刻製程
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
US9287386B2 (en) Method for fabricating vertically stacked nanowires for semiconductor applications
TWI688997B (zh) 閘極電極材料殘留物移除製程
KR20070015031A (ko) 듀얼 주파수 바이어스를 갖는 화학적 기상 증착 챔버 및이를 이용하여 포토마스크를 제조하기 위한 방법
JP7357688B2 (ja) 多重スペーサパターニングのスキーム
JP7176106B2 (ja) 誘電体材料の堆積方法
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
TWI837174B (zh) 沉積介電材料之方法
CN117203740A (zh) 具有平坦顶部轮廓的间隔物图案化工艺