TWI688997B - 閘極電極材料殘留物移除製程 - Google Patents

閘極電極材料殘留物移除製程 Download PDF

Info

Publication number
TWI688997B
TWI688997B TW105103812A TW105103812A TWI688997B TW I688997 B TWI688997 B TW I688997B TW 105103812 A TW105103812 A TW 105103812A TW 105103812 A TW105103812 A TW 105103812A TW I688997 B TWI688997 B TW I688997B
Authority
TW
Taiwan
Prior art keywords
gate electrode
residue
substrate
gas
gate
Prior art date
Application number
TW105103812A
Other languages
English (en)
Other versions
TW201701331A (zh
Inventor
巴加夫 席特拉
正操 殷
史林尼法斯D 奈馬尼
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201701331A publication Critical patent/TW201701331A/zh
Application granted granted Critical
Publication of TWI688997B publication Critical patent/TWI688997B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供一種在閘極電極圖案化製程後從閘極結構移除閘極電極殘留物的方法。在一個實例中,一種用於在閘極結構的閘極電極層中形成高深寬比特徵的方法包含:對殘留在閘極結構上的閘極電極殘留物執行表面處理製程,該閘極結構設置於基板上;在基板上的閘極結構中選擇性形成經處理殘留物,而在閘極結構附近具有一些未經處理區域;以及執行遠端電漿殘留物移除製程,而從基板移除經處理殘留物。

Description

閘極電極材料殘留物移除製程
本發明實施例大體關於移除閘極結構中的閘極殘留物的方法,以及更特定而言之,本發明實施例係關於在閘極電極層中形成特徵以進行半導體製造應用後,由基板移除閘極結構中的閘極電極殘留物的方法。
對下一代半導體元件的大型積體電路(VLSI)與超大型積體電路(ULSI)來說,可靠地製造次半微米與較小特徵是其中一個關鍵技術挑戰。然而,隨著電路技術限制的推進,VLSI與ULSI技術的縮小尺寸對於處理能力展現額外的需求。可靠形成基板上的閘極結構對於VLSI與ULSI的成功與提高個別基板與晶粒的電路密度與品質的持續努力來說是重要的。
隨著下一代元件的電路密度提高,內連線(例如,穿孔、溝道、觸點、閘極結構以及其他特徵)與介於內連線之間的介電質材料的寬度減少至45nm與32nm尺寸,而介電質層的厚度保持實質相同,因此提高特徵的深寬比。為了能夠製造下一代的元件與結構,通常使用半導體晶片的三維(3D)堆疊來改善電晶體的性能。藉由將電晶體設置為三維度而非傳統二維度,可將多個電晶體以非常靠近彼此的方式放置在積體電路(IC)中。半導體晶片的三維(3D)堆疊降低線路長度並保持低線路延遲。在製造半導體晶片的三維(3D)堆疊中,通常使用階梯狀結構以允許將多個內連線結構設置在階梯狀結構上,形成高密度的垂直電晶體元件。
當於設置在基板上膜堆疊的階梯狀結構或閘極結構中形成特徵(例如,溝道或穿孔)時,通常利用以光阻劑層作為蝕刻遮罩的蝕刻製程。在閘極結構中,閘極電極形成在具有高深寬比的閘極介電層中。一般來說,傳統蝕刻劑對於蝕刻出現在閘極結構中的閘極電極材料以及其他材料(例如,閘極介電層及/或下層材料)具有較低的選擇性,因而在閘極介電層或其他材料的閘極電極介面上留下孔洞空間,亦稱為矽凹槽、底腳或其他相關缺陷。當在閘極電極材料中蝕刻具有高寬比的特徵時,閘極電極的底腳效應會變得很嚴重,而造成大量閘極電極材料殘留物遺留在側壁、角落或基板底部表面上。
因此,對於閘極電極層(例如,多晶矽與矽材料)與閘極結構上的其他材料(例如,氧化矽或氮化矽)的蝕刻選擇性必須非常高,以保護或鈍化閘極電極層的側壁或下方閘極結構的表面。
因此,對於半導體晶片製造應用或其他半導體元件的閘極結構來說,需要以最少缺陷與殘留物的方式來蝕刻或移除閘極電極的改良方法。
本發明提供一種在閘極電極圖案化製程後由閘極結構移除閘極電極殘留物的方法。在一個實例中,一種在閘極結構的閘極電極層中形成高深寬比特徵的方法包含:對殘留在閘極結構上的閘極電極殘留物執行表面處理製程,該閘極結構形成於基板上;由殘留在閘極結構上的閘極電極殘留物選擇性形成經處理殘留物區域與未經處理殘留物區域;以及執行遠端電漿殘留物移除製程,而由基板移除經處理殘留物。
在另一個實例中,一種在閘極結構的閘極電極層中形成高深寬比特徵的方法包含:改變形成在基板上的閘極結構上的閘極電極殘留物的膜性質;以及由基板選擇性移除閘極電極殘留物。
在又另一實例中,一種在閘極結構的閘極電極層中形成高深寬比特徵的方法包含:在圖案化設置在形成於基板上的閘極結構中的閘極電極層後,選擇性處理殘留在基板上的閘極電極殘留物;以及使用電漿由閘極結構選擇性移除經處理閘極電極殘留物,該電漿係由遠端電漿源所產生的含氫氣的氣體混合物所形成。
本發明實施例大體關於在閘極電極圖案化製程後,由閘極結構移除閘極電極殘留物的方法與設備。在一個實例中,該方法包含以高蝕刻選擇性漸進移除閘極電極殘留物,以維持期望的閘極結構輪廓與尺寸。在一個實例中,多步驟閘極電極殘留物製程包含處理製程,接著進行殘留物移除製程。處理製程主要改變閘極電極殘留物的膜結構,使得閘極電極殘留物成為允許在後續殘留物移除製程期間被有效移除的狀態。可重複執行處理製程與殘留物移除製程,直到從形成在基板上的閘極結構移除實質全部的閘極電極殘留物為止。
第1圖為說明性處理腔室100的截面圖,處理腔室100適用於執行閘極電極殘留物移除製程,以下進一步說明之。可配置腔室100,而由設置在基板表面上的材料層移除材料。腔室100特別適用於執行電漿輔助乾式蝕刻製程。處理腔室100可為PCxT Reactive PrecleanTM (RPC)、AKTIV Pre-CleanTM 、SiconiTM 、CapaTM 或FrontierTM 腔室,該些腔室可購自加州聖大克勞拉市的應用材料公司。應注意,亦可採用購自其他製造商的其他真空處理腔室來執行本發明。
處理腔室100包含腔室主體112、蓋組件140以及支撐組件180。蓋組件140設置在腔室主體112的上端,而支撐組件180至少部分地設置在腔室主體112中。
腔室主體112包含流量閥開口114,該流量閥開口114形成在腔室主體112的側壁中,以提供通路至處理腔室100的內部體積。選擇性地打開與關閉流量閥開口114,以允許晶圓傳送系統機器人(未圖示)進入腔室主體112的內部體積。
在一或多個執行例中,腔室主體112包含形成在腔室主體112中的通道115,用於流動傳熱流體穿過通道115。腔室主體112可進一步包含襯墊120,該襯墊120圍繞支撐組件180。襯墊120為可移除的,以進行維修與清洗。在一或多個實施例中,襯墊120包含一或多個孔125與形成在襯墊120中的抽取通道129,該抽取通道129與真空系統流體連通。孔125提供氣體進入抽取通道129的流動路徑,這為處理腔室100中的氣體提供出口。
真空系統可包含真空幫浦130與節流閥132,以調節穿過處理腔室100的氣體流。真空幫浦130耦接至設置在腔室主體112中的真空埠131,且因此與形成在襯墊120中的抽取通道129流體連通。蓋組件140包含至少兩個堆疊元件,配置該些堆疊元件以在該些堆疊元件之間形成電漿容積或空腔。在一或多個實施例中,蓋組件140包含第一電極143(上電極),該第一電極143垂直設置於第二電極145(下電極)上方,將電漿容積或空腔150局限於第一電極143與第二電極145之間。第一電極143連接至電源152,例如,RF功率供應器,且第二電極145接地,而在兩個電極143與145之間形成電容。
在一或多個執行例中,蓋組件140包含一或多個氣體入口154(僅圖示一個),該氣體入口154至少部份地形成在第一電極143的上部區段156中。一或多種製程氣體通過一或多個氣體入口154進入蓋組件140。該一或多個氣體入口154在第一端處與電漿空腔150流體連通,並在該一或多個氣體入口154的第二端處耦接至一或多個上游氣體源及/或其他氣體輸送構件,例如,氣體混合器。在一或多個實施例中,第一電極143具有擴充部分155,以容納電漿空腔150。
在一或多個執行例中,擴充部分155為環狀構件,具有內表面或直徑157,該直徑157由擴充部分155的上部部分155A逐漸增加至擴充部分155的下部部分155B。因此,第一電極143與第二電極145之間的距離是可變的。這變化的距離幫助控制產生在電漿空腔150中的電漿形成與穩定度。產生在電漿空腔150中的電漿在進入支撐組件180上方的處理區域141之前被界定在蓋組件140中,其中在處理區域141中處理基板,該電漿被視為遠離處理區域141遠端產生的遠端電漿源。
蓋組件140可進一步包含隔離環160,隔離環160電氣隔離第一電極143與第二電極145。蓋組件140可進一步包含與第二電極145相鄰的分配板170與阻隔板175。可將第二電極145、分配板170與阻隔板175堆疊且設置於蓋緣178上,蓋緣178與腔室主體112連接。在一或多個執行例中,第二電極145可包含複數個氣體管路或孔165,該些氣體管路或孔165形成在電漿空腔150下方,以允許氣體由電漿空腔150流動穿過第二電極145。分配板170實質為盤狀且亦包含複數個孔172或流道,以分佈氣體流穿過分配板170。在一或多個實施例中,分配板170包含一或多個嵌入通道或管路174,用於容納加熱器或加熱流體,以提供蓋組件140的溫度控制。阻隔板175包含複數個孔176,以提供第二電極145至分配板170的複數個氣體管路。可改變該些孔176的尺寸並沿著阻隔板175周圍放置該些孔176,以提供受控且均勻的氣體流量分佈至分配板170。
支撐組件180可包含支撐構件185,以在腔室主體112中支撐基板(未圖示)進行處理。支撐構件185可耦接至穿過軸件187的升降機構183,該軸件187延伸穿過中心位置的開口116,該中心位置的開口116形成在腔室主體112的底表面。可利用波紋管188將升降機構183與腔室主體112彈性密封,該波紋管188避免軸件187周圍的真空洩漏。
在一個實施例中,電極181耦接至複數個RF偏壓電源184與186。RF偏壓電源184與186耦接在電極181之間,電極181設置於支撐構件185中。RF偏壓電源激發並維持由位於腔室主體的處理區域141中的氣體所形成的電漿放電。
在繪示於第1圖的實施例中,雙RF偏壓電源184與186透過匹配電路189耦接至設置在支撐構件185中的電極181。由RF偏壓電源184與186所產生的訊號透過匹配電路189傳送至支撐構件185通過單一饋入,以離子化提供在電漿處理腔室100中的氣體混合物,因而提供執行沉積或其他電漿輔助製程所需的離子能。RF偏壓電源184與186通常能夠產生RF訊號,該RF訊號具有介於約50kHz至約200kHz之間的頻率以及介於約0Watt至約5000Watt之間的功率。根據需求,可耦接額外的偏壓電源至電極181,以控制電漿性質。
支撐構件185可包含鑽孔192,該鑽孔192形成穿過支撐構件185,以容納升舉銷193,其中一個升舉銷193圖示於第1圖中。每一個升舉銷193是由陶瓷或含陶瓷材料所建構,且用於基板處理與傳輸。當升舉銷193與設置在腔室主體112中的環狀升舉環195接合時,升舉銷193在升舉銷193各自的鑽孔192中是可移動的。支撐組件180可進一步包含邊緣環196,該邊緣環196設置在支撐構件185周圍。
可利用循環通過嵌入支撐構件185主體的流體通道198的流體來控制支撐組件180的溫度。在一或多個執行例中,流體通道198與傳熱導管199流體連通,傳熱導管199設置穿過支撐組件180的軸件187。沿著支撐構件185周圍設置流體通道198,以提供均勻的熱傳至支撐構件185的基板接收表面。流體通道198與傳熱導管199可流動傳熱流體,以加熱或冷卻支撐構件185。可使用任何適合的傳熱流體,例如,水、氮、乙二醇或前述流體的組合。支撐組件180可進一步包含嵌入熱電偶(未圖示),用於監控支撐構件185的支撐表面的溫度。舉例來說,來自熱電偶的訊號可用於反饋迴路,以控制循環通過流體通道198的流體溫度或流動速率。
支撐構件185可在腔室主體112中垂直移動,因此可控制支撐構件185與蓋組件140之間的距離。感測器(未圖示)可提供與腔室100中的支撐構件185的位置相關的資訊。
可使用系統控制器(未圖示)來調節處理腔室100的操作。系統控制器可在儲存於電腦記憶體的電腦程式的控制下進行操作。電腦程式可包含指令,該些指令可進行以下所述之將在處理腔室100中所執行的預清潔製程。舉例來說,電腦程式可支配處理排序與時序、氣體混合物、腔室壓力、RF功率級、基座定位、流量閥的開關、晶圓冷卻以及其他特定製程的參數。
第2圖為處理腔室200的一個實例截面圖,處理腔室200適用於執行圖案化製程與閘極電極殘留物移除製程,以利用蝕刻製程蝕刻閘極電極層並移除基板上的閘極電極殘留物。適用在此所揭露的技術的適合處理腔室包含,例如,ENABLER® 或C3® 處理腔室,可購自位於加州聖大克勞拉市的應用材料公司。雖然處理腔室200圖示包含複數個展現優良蝕刻性質的特徵,但應注意,亦可採用其他處理腔室,而受益於在此所揭露的一或多個發明特徵。
處理腔室200包含腔室主體202與蓋204,蓋204圍繞內部容積206。腔室主體202通常由鋁、不鏽鋼或其他適合材料所製成。腔室主體202一般包含側壁208與底部210。基板支撐底座出入口(未圖示)一般界定在側壁208中並由流量閥選擇性密封基板支撐底座出入口,以幫助基板203進入與離開處理腔室200。排氣口226界定在腔室主體202中,且排氣口226耦接內部容積206與幫浦系統228。幫浦系統228一般包含一或多個幫浦與閥門,用以排空與調節處理腔室200的內部容積206的壓力。在一個執行例中,幫浦系統228維持內部容積206內部的壓力於操作壓力下,該操作壓力通常介於約10mTorr至約500Torr之間。
蓋204密封地支撐在腔室主體202的側壁208上。可打開蓋204以允許進入處理腔室200的內部容積106。蓋204包含窗242,該窗242幫助光學製程監控。在一個執行例中,窗242是由石英或其他適合材料所組成,該適合材料可穿透設置在處理腔室200外側的光學監控系統240所使用的訊號。
放置光學監控系統240以透過窗242查看腔室主體202的內部容積206及/或設置在基板支撐底座組件248上的基板203的至少一者。在一個實施例中,光學監控系統240與蓋204耦接並輔助使用光學測量的集成沉積製程,以視需求提供能夠進行製程調整的資訊,而補償輸入基板圖案特徵的不一致(例如,厚度等等)、提供製程狀態監控(例如,電漿監控、溫度監控等等)。可採用而受益於本發明的一個光學監控系統為EyeD® 全光譜干涉測量模組,可購自位於加州聖大克勞拉市的應用材料公司。
氣體分配盤258與處理腔室200耦接,以提供製程氣體及/或清洗氣體至內部容積206。在繪示於第2圖的實例中,入口埠232’與232’’提供於蓋204中,以允許氣體由氣體分配盤258輸送至處理腔室200的內部容積206。在一個執行例中,氣體分配盤258適於提供氟化製程氣體穿過入口埠232’與232’’並進入處理腔室200的內部容積206。在一個執行例中,由氣體分配盤258所提供的製程氣體至少包含:氟化氣體、氯、含碳氣體、氧氣、含氮氣體以及含氯氣體。氟化氣體與含碳氣體的實例包含:CHF3 、CH2 F2 與CF4 。其他氟化氣體可包含下列一或多個:C2 F、C4 F6 、C3 F8 與C5 F8 。含氧氣體的實例包含:O2 、CO2 、CO、N2 O、NO2 、O3 、H2 O等等。含氮氣體的實例包含:N2 、NH3 、N2 O、NO2 等等。含氯氣體的實例包含:HCl、Cl2 、CCl4 、CHCl3 、CH2 Cl2 、CH3 Cl等等。含碳氣體的適合實例包含:甲烷(CH4 )、乙烷 (C2 H6 )、乙烯(C2 H4 )等等。
噴淋頭組件230耦接至蓋204的內部表面214。噴淋頭組件230包含複數個孔,該些孔允許氣體以橫跨將在處理腔室200中進行處理的基板203表面的預定分佈,由入口埠232’與232’’流動穿過噴淋頭組件230進入處理腔室200的內部容積206。
遠端電漿源277可選擇性地耦接至氣體分配盤258,以幫助氣體混合物在進入內部容積206進行處理之前由遠端電漿解離氣體混合物。透過匹配網路241將RF電源243耦接至噴淋頭組件230。RF電源243通常在約50kHz至約200MHz範圍的可調視頻率下能夠產生高達約3000W。
噴淋頭組件230額外包含可穿透光學測量訊號的區域。光學穿透區域或管路238適用於允許光學監控系統240觀察內部容積206及/或放置在基板支撐底座組件248上的基板203。管路238可為形成或設置在噴淋頭組件230中的材料、孔或複數個孔,該管路238實質穿透由光學監控系統240所產生以及反射回光學監控系統240的波長能量。在一個實施例中,管路238包含窗242,以避免氣體洩漏穿過管路238。窗242可為藍寶石板、石英板或其他適合材料。窗242可交替設置在蓋204中。
在一個執行例中,噴淋頭組件230配置有複數個區域,該些區域允許個別控制流入處理腔室200的內部容積206中的氣體。在說明於第2圖的實例中,噴淋頭組件230視為內部區域234與外部區域236,該內部區域234與外部區域236透過個別的入口埠232’與232’’分別耦接至氣體分配盤258。
基板支撐底座組件248設置在氣體分配(噴淋頭)組件230下方的處理腔室200的內部容積206中。基板支撐底座組件248在處理期間固持基板203。基板支撐底座組件248通常包含複數個升舉銷(未圖示),該些升舉銷設置穿過基板支撐底座組件248,配置該些升舉銷以由基板支撐底座組件248升降基板203並幫助以常規方式與機器人(未圖示)交換基板203。內部襯墊218可緊密外切基板支撐底座組件248的周圍。
在一個執行例中,基板支撐底座組件248包含安裝板262、底座264與靜電夾具266。安裝板262耦接至腔室主體202的底部210且包含管路,以提供至底座264與靜電夾具266的佈線使用,例如,流體、電力線與感測器導線等等。靜電夾具266包含至少一個夾持電極280,以固定基板203於噴淋頭組件230下方。靜電夾具266由夾持電源282驅動,以產生靜電力,如傳統上已知的,該靜電力固持基板203至夾具表面。可替換地,基板203可藉由夾持、真空或重力固定至基板支撐底座組件248上。
底座264或靜電夾具266的至少一者可包含至少一個可選的嵌入式加熱器276、至少一個可選的嵌入式隔離器274以及複數個導管268、270,以控制基板支撐底座組件248的橫向溫度分佈。導管268、270流體耦接至流體源272,該流體源272循環溫度調節流體穿過導管268、270。由電源278調控加熱器276。使用導管268、270與加熱器276來控制底座264的溫度,因而加熱及/或冷卻靜電夾具266,並且最終控制設置在靜電夾具266上的基板203的溫度分佈。可使用複數個溫度感測器290、292來監控靜電夾具266與底座264的溫度。靜電夾具266可進一步包含複數個氣體管路(未圖示),例如,凹槽,該些氣體管路形成在夾具266的基板支撐底座支撐表面中,且該些氣體管路流體耦接至傳熱(或背側)氣體源,例如,氦。在操作中,在受控壓力下提供背側氣體進入氣體管路,以促進靜電夾具266與基板203之間的熱傳送。
在一個執行例中,配置基板支撐底座組件248為陰極且包含電極280,該電極280耦接至複數個RF偏壓電源284、286。RF偏壓電源284、286耦接在設置於基板支撐底座組件248中的電極280與其他電極之間,例如,腔室主體202的噴淋頭組件230或頂棚(蓋204)。RF偏壓功率激發並維持由腔室主體202的處理區域中的氣體所形成的電漿放電。
在繪示於第2圖的實例中,雙RF偏壓電源284、286耦接至電極280,該電極280透過匹配電路288設置在基板支撐底座組件248中。由RF偏壓電源284、286所產生的訊號傳遞穿過匹配電路189至基板支撐底座組件248,經由訊號反饋以離子化提供在電漿處理腔室200中氣體混合物,因而提供執行沉積或其他電漿輔助製程所需的離子能量。RF偏壓電源284、286通常能夠產生RF訊號,該RF訊號具有約50kHz至約200MHz之間的頻率以及介於約0Watt至約5000Watt之間的功率。可耦接額外偏壓電源289至電極280,以控制電漿性質。
在一個操作模式中,將基板203設置在電漿處理腔室200的基板支撐底座組件248上。引導製程氣體及/或氣體混合物由氣體分配盤258穿過噴淋頭組件230進入腔室主體202中。幫浦系統228維持腔室主體202內部的壓力,同時移除沉積副產物。
耦接控制器250至處理腔室200,以控制處理腔室200的操作。控制器250包含中央處理單元(CPU)252、記憶體254與支撐電路256,使用控制器250控制製程順序並調節來自氣體分配盤258的氣體流動。CPU252可為任何形式的一般用途電腦處理器,可用於工業環境。可將軟體常式儲存於記憶體254中,例如,隨機存取記憶體、唯讀記憶體、軟式磁碟機或硬碟機、或其他形式的數位儲存器。支撐電路256傳統上耦接至CPU252且可包含快取記憶體、時脈電路、輸入/輸出系統、電源等等。透過多個信號電纜處理介於控制器250與處理腔室200的各種組件之間的雙向通訊。
第3圖說明方法300,用於圖案化閘極電極層且隨後進行在基板上執行的閘極電極殘留物移除製程,該基板之後可用於形成半導體元件的閘極結構。第4A至4D圖為設置在複合基板400上的閘極電極層的部分橫截面圖,對應於方法300的各種階段。在一個實例中,可使用閘極電極層來形成用於三維(3D)NAND半導體應用的閘極結構。在製造三維(3D)NAND半導體應用中,通常對高深寬比閘極堆疊NAND單元使用台階狀的氧化物-氮化物結構對,以提高電路密度。或者,可有利地使用方法300來蝕刻或移除其他結構類型的殘留物。
方法300開始於操作302,提供基板,例如,繪示於第4A圖的基板400,基板400上形成有膜堆疊402,如第4A圖所示。基板400可為下列材料,例如,結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽化鍺、鍺、摻雜的或未摻雜的多晶矽、摻雜的或未摻雜的矽晶圓以及圖案化或未圖案化的矽基絕緣體(SOI)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃或藍寶石。基板203可具有各種尺寸,例如,200mm、300mm、450mm、或其他直徑,且基板203可為矩形或方形面板。除非另有說明,在此所描述的實例在具有200mm直徑、300mm直徑或450mm直徑的基板上進行。
膜堆疊402至少包含設置在閘極介電層404上的閘極電極層406,該膜堆疊402之後用於形成半導體元件的閘極結構。在一個實施例中,閘極電極層406可具有介於約45nm至約70nm之間的厚度,而該閘極介電層404可具有介於約7nm至約15nm之間的厚度。
閘極電極層406可為由磊晶沉積製程所形成的結晶矽層,例如,單晶、多晶矽層或單晶矽層。或者,閘極電極層406可為摻雜矽層,包含p-型摻雜矽層或n-型摻雜層。適合的p-型摻雜劑包含硼(B)摻雜劑、鋁(Al)摻雜劑、鎵(Ga)摻雜劑、銦(In)摻雜劑等等。適合的n-型摻雜劑包含氮(N)摻雜劑、磷(P)摻雜劑、砷(As)摻雜劑、銻(Sb)摻雜劑等等。在又另一實例中,閘極電極層406可為III-V族材料(例如,GaAs層)或鎢多晶矽(W/poly)、鉭矽氮化物(TaSiN)等等。在繪示於第4A圖的實施例中,閘極電極層406為多晶矽層,具有介於約45nm至約60nm之間的厚度。
閘極介電層404為介電層,例如,氧化矽、氮化矽、氧氮化矽、高介電常數(k)材料或前述材料的組合等等。在此所指的高介電常數(k)材料為具有介電常數大於4.0的介電材料。高介電常數(k)材料層的適合實例包含:二氧化鉿(HfO2 )、二氧化鋯(ZrO2 )、氧化矽鉿(HfSiO2 )、氧化鋁鉿(HfAlO)、氧化矽鋯(ZrSiO2 )、二氧化鉭(TaO2 )、氧化鋁、鋁摻雜的二氧化鉿、鈦酸鍶鋇(BST)以及鈦酸鉑鋯(PZT)以及其他。在繪示於第4A圖的實施例中,閘極介電層404為氧化矽層,具有介於約7nm至約15nm之間的厚度。
圖案化遮罩層408具有複數個開口412,該些開口412形成在圖案化遮罩層408中,該圖案化遮罩層408可設置在閘極電極層406上,曝露閘極電極層406的部分410,以幫助特徵轉移至閘極電極層406中。圖案化遮罩層408可為硬光罩層的單層、光阻劑層或具有硬光罩層與光阻劑層兩者的複合層。如果存在硬光罩層,則硬光罩層的適合實例包含:氧化矽、氮化矽、氮氧化矽、碳化矽、碳氧化矽、非晶碳、氮化鈦、氧化鈦、氮氧化鈦、氮化鉭、氧化鉭、氮氧化鉭或其他適合材料。如果存在光阻劑層,則光阻劑層可為任何適合的感光性的光阻材料,舉例來說,電子束光阻(例如,化學增幅光阻劑(CAR)),且以任何適合方式沉積並圖案化該光阻劑層。開口412可具有小於50nm的寬度,例如,小於30nm、例如,介於約1nm至約10nm之間,以在閘極電極層406中形成具有大於至少10:1的深寬比的特徵。
在操作304處,執行蝕刻製程來蝕刻閘極電極層406,以在閘極電極層406中形成特徵414,直到曝露出閘極介電層404的部分422為止,如第4B圖所示。如上所討論,由於特徵414可具有高深寬比,造成來自蝕刻製程的蝕刻劑難以到達特徵414的底部。因此,在蝕刻製程期間,無法有效率且有效地移除一些閘極電極層406的角落殘留物416,而造成形成在閘極電極層406的側壁418與閘極介電層404的曝露部分422之間的矽凹部。因此,之後將在操作306處執行閘極電極殘留物移除製程,以移除角落殘留物416,而在閘極電極層406中形成具有期望輪廓與尺寸的特徵414。
在操作304的蝕刻製程期間,可在蝕刻反應器中執行蝕刻製程,例如,繪示於第2圖中的電漿處理腔室200。可藉由供應蝕刻氣體混合物來執行蝕刻製程,該蝕刻氣體混合物至少包含含鹵氣體與氟碳氣體。含鹵氣體的適合實例包含:HBr、HCl、Cl2 、Br2 、NF3 、前述氣體的組合等等。氟碳氣體的適合實例包含:CF4 、CHF3 、CH2 F2 、CH3 F、C4 F8 、前述氣體的組合等等。可選地,蝕刻氣體混合物可與載氣以及惰性氣體一起提供,該載氣例如為,O2 、N2 、N2 O、NO2 、前述氣體的組合等等。惰性氣體的適合實例包含:Ar、He、Kr、Ne等等。在一個實施例中,用於主要蝕刻步驟的蝕刻氣體混合物可包含:HBr氣體、NF3 氣體、CF4 氣體、O2 氣體、N2 氣體以及He氣體。
執行蝕刻製程的同時,亦調節蝕刻氣體混合物的腔室壓力。在一個示例性實施例中,將電漿處理腔室的製程壓力調節在介於約2mTorr至約100mTorr之間,例如,約7mTorr。可施加RF電源以維持由蝕刻氣體混合物所形成的電漿。舉例來說,可施加約100Watt至約1500Watt,例如,300Watt的功率,至感應耦合天線源以維持蝕刻腔室內的電漿。可施加介於約100Watt至約1000Watt之間,例如,約150Watt與約250Watt,的RF偏壓功率。可將主要蝕刻期間的蝕刻氣體混合物以介於約50sccm至約1000sccm之間的速度流入腔室中。舉例來說,可以介於約5sccm至約500sccm之間,例如,170sccm,的流動速度供應含鹵氣體。可以介於約5sccm至約500sccm之間,例如,介於約40sccm至約70sccm之間,的流動速度供應氟碳氣體。可以介於約0sccm至約500sccm之間的流動速度施加惰性氣體。將基板溫度維持在介於約30℃至約500℃之間。在其他實施例中,將基板溫度維持在大於80℃,諸如,大於約120℃,例如,約150℃。
於操作306處,在蝕刻製程移除大部分的閘極電極層406而形成特徵於閘極電極層406中後,執行閘極電極殘留物移除製程,以由基板400選擇性移除殘留物416。在操作306所執行的閘極電極殘留物移除製程可包含兩個子操作306a與306b。第一子操作306a包含表面處理製程,而第二子操作306b包含殘留物移除製程。殘留物移除製程可使用遠端電漿源。須注意閘極電極殘留物移除製程可在電漿反應器中執行,該電漿反應器包含遠端電漿源,例如,繪示於第1與2圖中的電漿處理腔室。在閘極電極殘留物移除製程與操作304的蝕刻製程整合執行(例如,原位執行)的實施例中,可在電漿處理腔室中執行這兩個製程,該電漿處理腔室能夠使用遠端電漿源執行傳統蝕刻製程與殘留物移除製程,例如,繪示於第2圖中的處理腔室200,具有耦接至處理腔室200的遠端電漿源277。
在第一子操作306a處,執行表面處理製程以處理角落殘留物416,而在基板表面上形成經處理角落殘留物430。藉由供應處理氣體混合物至處理腔室中來執行表面處理製程。表面處理製程處理角落殘留物416,因而改變角落殘留物416的一些膜/表面性質,以於基板400上形成經處理角落殘留物430,如第4C圖所示。
由產生於處理氣體混合物中的電漿所提供的離子/自由基會改變及/或修飾角落殘留物416(例如,閘極電極層406中的非晶化矽材料)的部分膜性質,以產生與其他區域(例如,閘極電極層406的側壁418與上表面420)不同的經處理殘留物430的膜鍵結結構。經處理區域(例如,經處理殘留物430)與未經處理區域(例如,側壁418與上表面420)之間的膜性質差異性會提供經處理殘留物430與由閘極電極層406殘留的其他材料之間的自然蝕刻阻障層,因而在後續殘留物移除製程的操作期間提供較高選擇性。
處理製程可根據需求改變鍵結結構,以形成經處理殘留物430,而形成期望的分佈/膜鍵結結構變化,提供具有經改變的膜性質的經處理殘留物430,該些經修改的膜性質能夠在後續蝕刻製程期間獲得不同的製程結果。
在一個實施例中,處理氣體可至少包含惰性氣體。惰性氣體的適合實例包含:Ar、He、Kr、Ne、Xe等等。當選擇惰性氣體作為離子處理氣體時,來自惰性氣體的原子會物理轟擊和碰撞構成角落殘留物416的原子。由於處理製程期間供應至電漿的功率可提供動量給來自惰性氣體的原子,故當來自惰性氣體的原子與角落殘留物416的原子碰撞時,可能會損壞並重排角落殘留物416中的鍵結結構,因此相較於閘極電極層406的未經處理上表面420與側壁418,對選定進行處理的該些區域產生損壞/鬆動的鍵結結構。由於來自惰性氣體的該些原子會損壞並鬆動存在於角落殘留物416中的鍵結結構,故所生成的經處理角落殘留物430亦可具有損壞的鍵結結構,可利用殘留物移除製程輕易地蝕刻並移除該損壞的鍵結結構。在由結晶矽材料製得角落殘留物416的實施例中,來自惰性氣體的該些原子可碰撞角落殘留物416的結晶矽中的矽原子的晶格結構,摧毀並損壞矽材料的晶格結構,因而非晶化矽材料並將矽材料轉換為非晶矽層。在此實例中,由於處理製程期間的惰性氣體碰撞,所形成的經處理角落殘留物430大部分轉換為非晶矽層。藉此,可在第二子操作306b的後續殘留物移除製程中輕易地侵蝕並蝕刻非晶型的經處理角落殘留物430,因而在後續殘留物蝕刻製程期間提供良好的蝕刻選擇性。
在一個實施例中,可選擇具有高分子量(例如,Ar、Ne或Kr)與受控方向性(例如,由定向偏壓功率所控制)的惰性氣體來執行處理製程。由於這些元素具有相對高的分子量,故當衝擊角落殘留物416時可獲得相對高的碰撞功率,以提供有效碰撞而改變並損壞角落殘留物416的晶格結構,以幫助後續殘留物移除製程。
可在第二子操作306b的處理製程期間控制一些製程參數。可以介於約1sccm至約500sccm,例如,介於約100sccm至約300sccm,舉例來說,約200sccm,的流動速率將惰性氣體供應至處理腔室中。通常將腔室壓力維持在介於約0.1mTorr至約100mTorr之間,舉例來說,介於約4.5mTorr至約65mTorr之間,例如,約10mTorr。可供應RF功率至處理腔室,以幫助在處理期間解離氣體混合物,該RF功率例如為電容性或感應性RF功率、DC功率、電磁能或磁控濺鍍。可使用藉由施加DC或RF電偏壓至基板支撐或基板支撐上的氣體入口或基板支撐與基板支撐上的氣體入口兩者所產生的電場來加速由解離能所產生的離子朝向基板。可電容或感應耦接由RF電源所提供的約13.5MHz的電場,以達離子化原子的目的,且該電場可為DC放電場或交流電場,例如,RF場。交替地,可施加微波能量至包含任何該些元素的離子植入氣體混合物以產生離子。在一些實施例中,包含高能離子的氣體可為電漿。施加介於約20V至約1000V的電偏壓(峰值對峰值電壓)至基板支撐、氣體分佈器或基板支撐與氣體分佈器兩者,而加速離子以期望能量朝向基板表面。在一些實施例中,亦使用電偏壓來離子化處理氣體混合物。在其他實施例中,使用第二電場來離子化製程氣體。在一個實施例中,提供頻率約2MHz的RF偏壓功率來離子化離子植入處理氣體,並以介於約10W至約500W,例如,介於約50W至約300W,舉例來說,約200W,的功率位準來偏壓基板支撐。通常藉由偏壓基板或如前所述的氣體分佈器將加速由此產生的該些離子朝向基板。在一個實施例中,可供應介於約100Watt至約500Watt之間的RF電源,以及可供應介於約50Watt至約300Watt之間的偏壓功率。在一個特定實例中,將RF偏壓功率控制在約200W,而不施加RF電源。
在一些實施例中,可視需要脈衝用於產生離子的功率。可施加功率至電漿源達一段期望時間,且接著中斷功率達一段期望時間。可在期望頻率與工作循環下重複功率循環達期望循環次數。在一些實施例中,可在介於1Hz至約50,000Hz之間,例如,介於5000Hz至約10000Hz之間,的頻率下脈衝電漿。在其他實施例中,可以介於約10%至約90%之間,例如,介於約30%至約70%之間,的工作循環(每個循環中供電時間與非供電時間的比率)來進行電漿脈衝。可將製程溫度控制在介於約5℃至約650℃之間,例如,介於約200℃至約400℃之間,舉例來說,約310℃。
在第二子操作306b處,於處理製程之後,接著執行殘留物移除製程,以由基板400移除經處理殘留物430,如第4D圖所示,而在閘極電極層406中形成具有期望輪廓與尺寸的特徵432。供應殘留物移除氣體混合物至具有遠端電漿源的處理腔室中(例如,繪示於第2圖的處理腔室200或第1圖中的遠端電漿空腔150),以移除經處理角落殘留物430,直到曝露閘極介電層404的部分422至期望寬度。如前所討論,經處理角落殘留物430本身作為殘留物製程期間蝕刻的阻障層,對閘極電極層406的上表面420與側壁418以及閘極介電層404具有高選擇性。
選用於移除經處理殘留物430的殘留物移除氣體混合物至少包含含氫氣體,例如,氫氣等等,可根據需求,由遠端電漿源或保存在處理腔室中的電漿來供應含氫氣體。由遠端源所供應的電漿可提供輕度源(gentle source),該輕度源可輕度且逐步地移除經處理殘留物430,而不會過度攻擊殘留在閘極電極層406與閘極介電層404中的其他材料。在一個實例中,使用氫氣來形成遠端電漿源,以移除經處理殘留物。可以介於約5sccm至約2000sccm,例如,約400sccm,的體積流動速率供應氫氣至處理腔室。
提供殘留物移除氣體混合物的同時,根據需求,可選擇性地供應惰性氣體至殘留物移除氣體混合物中,以輔助輪廓控制。供應在氣體混合物中的惰性氣體的實例包含:Ar、He、Ne、Kr、Xe等等。可以介於約50sccm至約300sccm的體積流動速率供應選擇性惰性氣體至處理腔室。
將殘留物移除氣體混合物供應至處理腔室後,可將遠端電漿源的RF功率供應至蝕刻氣體混合物,該RF功率介於約200Watt至約3000Watt之間。可在介於約400kHz至約60MHz之間的頻率下,供應約1000Watt至約3000Watt之間的遠端電漿源的RF功率至殘留物移除氣體混合物。根據需求,亦可選擇性地供應RF偏壓功率。
亦可控制一些製程參數,同時供應殘留物移除氣體混合物,以執行殘留物移除製程。可將處理腔室的壓力控制在介於約75mTorr至約20Torr之間。將基板溫度維持在介於約15℃至約300℃之間,例如,大於500℃,舉例來說,介於約200℃至約400℃之間,例如,約310℃。堅信,高溫(大於200℃的溫度)可幫助減少殘留物移除製程期間所產生的副產物量。
應注意,可重複並循環形成子操作306a的處理製程與子操作306b的殘留物移除製程,如迴路308所示,以增進且逐步地移除並蝕刻經處理殘留物430,而實質上不會攻擊閘極電極層406與閘極介電層404的其他區域。
在一個實例中,可重複執行第一子操作306a的處理製程與第二子操作306b的殘留物移除製程之間的該些製程達約3至10個循環。可控制每個製程的每個循環介於約15秒至約45秒之間。須注意,子操作306a的處理製程與子操作306b的殘留物移除製程之間的循環次數可根據需求達多次。
因此,提供形成具有高深寬比的特徵的方法,該些特徵用於半導體晶片的三維(3D)堆疊的具有期望輪廓與尺寸的閘極結構。該方法使用處理製程,來處理殘留在蝕刻製程後的閘極電極殘留物,而形成具有與基板上的其他材料不同/不匹配的膜性質的經處理殘留物,以促進蝕刻選擇性。使用殘留物移除製程來選擇性地移除具有不匹配膜性質的殘留物,以在具有期望輪廓與尺寸的閘極電極層中形成期望高深寬比。因此,接著獲得具有高深寬比的均勻且期望輪廓的閘極結構。
儘管前述內容是關於本發明的實施例,但可產生本發明的其他與進一步實施例,而不會偏離本發明的基本範疇以及由後附申請專利範圍所界定的本發明範疇。
100‧‧‧腔室 106‧‧‧內部體積 112‧‧‧腔室主體 114‧‧‧流量閥開口 115‧‧‧通道 116‧‧‧中心位置的開口 120‧‧‧襯墊 125‧‧‧孔 129‧‧‧抽取通道 130‧‧‧真空幫浦 131‧‧‧真空埠 132‧‧‧節流閥 140‧‧‧蓋組件 141‧‧‧處理區域 143‧‧‧第一電極 145‧‧‧第二電極 150‧‧‧空腔 152‧‧‧電源 154‧‧‧氣體入口 155A‧‧‧上部部分 155B‧‧‧下部部分 155‧‧‧擴充部分 156‧‧‧上部區段 157‧‧‧直徑 160‧‧‧隔離環 165‧‧‧氣體管路或孔 170‧‧‧分配板 172‧‧‧孔 174‧‧‧管路 175‧‧‧阻隔板 176‧‧‧孔 178‧‧‧蓋緣 180‧‧‧支撐組件 181‧‧‧電極 183‧‧‧升降機構 184‧‧‧雙RF偏壓功率源 185‧‧‧支撐構件 186‧‧‧雙RF偏壓功率源 187‧‧‧軸件 188‧‧‧波紋管 189‧‧‧匹配電路 192‧‧‧鑽孔 193‧‧‧升舉銷 195‧‧‧環狀升舉環 196‧‧‧邊緣環 198‧‧‧流體通道 199‧‧‧傳熱導管 200‧‧‧處理腔室 202‧‧‧腔室主體 203‧‧‧基板 204‧‧‧蓋 206‧‧‧內部容積 208‧‧‧側壁 210‧‧‧底部 214‧‧‧內部表面 218‧‧‧內部襯墊 226‧‧‧排氣口 228‧‧‧幫浦系統 230‧‧‧噴淋頭組件 232’、232’’‧‧‧入口埠 234‧‧‧內部區域 236‧‧‧外部區域 238‧‧‧管路 240‧‧‧光學監控系統 241‧‧‧匹配網路 242‧‧‧窗 243‧‧‧RF電源 248‧‧‧基板支撐底座組件 250‧‧‧控制器 252‧‧‧中央處理單元 254‧‧‧記憶體 256‧‧‧支撐電路 258‧‧‧氣體分配盤 262‧‧‧安裝板 264‧‧‧底座 266‧‧‧靜電夾具 268‧‧‧導管 270‧‧‧導管 272‧‧‧流體源 274‧‧‧嵌入式隔離器 276‧‧‧嵌入式加熱器 277‧‧‧遠端電漿源 278‧‧‧電源 280‧‧‧電極 282‧‧‧夾持電源 284‧‧‧RF偏壓電源 286‧‧‧RF偏壓電源 288‧‧‧匹配電路 289‧‧‧偏壓功率源 290‧‧‧溫度感測器 292‧‧‧溫度感測器 300‧‧‧方法 302‧‧‧操作 304‧‧‧操作 306‧‧‧操作 306a‧‧‧第一子操作 306b‧‧‧第二子操作 308‧‧‧迴路 400‧‧‧基板 402‧‧‧膜堆疊 404‧‧‧閘極介電層 406‧‧‧閘極電極層 408‧‧‧圖案化遮罩層 410‧‧‧部分 412‧‧‧開口 414‧‧‧特徵 416‧‧‧角落殘留物 418‧‧‧側壁 420‧‧‧上表面 422‧‧‧部分 430‧‧‧經處理殘留物 432‧‧‧特徵
藉由參照實施例可得知以上簡短概述的本發明的更特定描述,因此可清楚理解本發明的前述特徵,其中本發明的部分實施例說明於後附圖式中。然而,應注意,後附圖式僅說明本發明的代表性實施例,因此,不應將後附圖式視為本發明範疇的限制,本發明可允許其他同等有效的實施例。
第1圖繪示蝕刻處理腔室,可使用該蝕刻處理腔室執行基板上的蝕刻製程。
第2圖繪示蝕刻處理腔室的另一個實例,可使用該蝕刻處理腔室執行基板上的蝕刻製程。
第3圖繪示由形成在基板上的閘極結構移除閘極電極殘留物的方法流程圖,以及
第4A至4D圖繪示從第3圖所繪示的閘極結構移除閘極電極殘留物的順序橫截面視圖的一個實例。
為了有助於理解,已儘可能使用相同的元件符號來表示該些圖式中共有的相同元件。請注意,一個實施例中的元件與特徵可有利地併入其他實施例中,而無需進一步說明。
然而,應注意,後附圖式僅說明本發明的示例性實施例,因此不視為本發明範疇的限制,本發明可容許其他等效實施例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
400‧‧‧基板
402‧‧‧膜堆疊
406‧‧‧閘極電極層
418‧‧‧側壁
420‧‧‧上表面
422‧‧‧部分
430‧‧‧經處理殘留物

Claims (19)

  1. 一種用於在一閘極結構的一閘極電極層中形成高深寬比特徵的方法,該方法包含:對殘留在一閘極結構上的閘極電極殘留物執行一表面處理製程,該閘極結構設置於一基板上;在該基板的該閘極結構上選擇性形成一經處理殘留物,在該閘極結構附近具有未經處理區域;以及藉由供應來自一遠端電漿源的包含含氫氣體的一處理氣體混合物來執行一遠端電漿殘留物移除製程,以從該基板移除該經處理殘留物。
  2. 如請求項1所述之方法,其中該含氫氣體為氫氣。
  3. 如請求項1所述之方法,其中執行該表面處理製程包含:供應包含一惰性氣體的一處理氣體混合物。
  4. 如請求項1所述之方法,其中形成該經處理殘留物進一步包含:對應於由該惰性氣體的原子所造成的該閘極電極層的晶格結構改變,在該經處理殘留物中形成一多晶膜結構。
  5. 如請求項1所述之方法,其中該經處理殘留物形成一多晶矽材料。
  6. 如請求項1所述之方法,其中該經處理殘留物與該未經處理區域具有不同蝕刻速率,而在該殘留物移除製程期間提供一蝕刻選擇性。
  7. 如請求項1所述之方法,其中該閘極電極層為一多晶矽層。
  8. 如請求項3所述之方法,其中該惰性氣體為氬氣(Ar)或氖氣(Ne)。
  9. 如請求項1所述之方法,其中執行該遠端電漿殘留物移除製程進一步包含:維持一基板溫度高於200℃。
  10. 如請求項1所述之方法,其中執行該表面處理製程進一步包含:在該表面處理製程期間供應一RF偏壓功率,而不供應RF電源。
  11. 如請求項1所述之方法,其中該閘極電極殘留物形成一角落,該角落位於一閘極電極的側壁與設置在該閘極結構中的一閘極介電層的一表面之間。
  12. 如請求項11所述之方法,其中該閘極介電層為氧化矽層、氮化矽層、氮氧化矽層、高介電常數(k)材料或前述介電層的組合。
  13. 如請求項1所述之方法,進一步包含:在執行該表面處理製程之前,執行一閘極電極層蝕 刻製程,而在該基板上留下一些閘極電極殘留物。
  14. 如請求項13所述之方法,其中在一單一腔室中執行該閘極電極層蝕刻製程、該處理製程以及該遠端電漿殘留物移除製程。
  15. 如請求項13所述之方法,進一步包含:在該閘極電極層中形成具有大於10:1的高深寬比特徵,該閘極電極層具有殘留在該基板上的閘極電極殘留物。
  16. 如請求項1所述之方法,進一步包含:循環執行該表面處理製程與該殘留物移除製程,直到從該基板實質移除該閘極電極殘留物。
  17. 一種用於在一閘極結構的一閘極電極層中形成高深寬比特徵的方法,該方法包含:改變形成在一基板的一閘極結構上的閘極電極殘留物的膜性質;以及藉由供應來自一遠端電漿源的包含含氫氣體的一處理氣體混合物來執行一遠端電漿殘留物移除製程,以從該基板選擇性移除該閘極電極殘留物。
  18. 如請求項17所述之方法,其中改變該閘極電極殘留物的膜性質進一步包含:藉由供應一惰性氣體至一處理腔室來執行一表面處理製程,該基板設置於該處理腔室中。
  19. 一種用於在一閘極結構的一閘極電極層中形成高深寬比特徵的方法,該方法包含:在圖案化一閘極電極層後,選擇性處理殘留在一基板上的閘極電極殘留物,該閘極電極層設置在形成於一基板上的一閘極結構中;以及利用含氫氣的一氣體混合物所產生的一遠端電漿源,從該閘極結構選擇性移除該經處理閘極電極殘留物。
TW105103812A 2015-02-16 2016-02-04 閘極電極材料殘留物移除製程 TWI688997B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562116808P 2015-02-16 2015-02-16
US62/116,808 2015-02-16
US15/000,273 US9640385B2 (en) 2015-02-16 2016-01-19 Gate electrode material residual removal process
US15/000,273 2016-01-19

Publications (2)

Publication Number Publication Date
TW201701331A TW201701331A (zh) 2017-01-01
TWI688997B true TWI688997B (zh) 2020-03-21

Family

ID=56621327

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105103812A TWI688997B (zh) 2015-02-16 2016-02-04 閘極電極材料殘留物移除製程

Country Status (3)

Country Link
US (1) US9640385B2 (zh)
TW (1) TWI688997B (zh)
WO (1) WO2016133673A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855093B (zh) * 2012-11-30 2016-07-06 中国科学院微电子研究所 半导体器件及其制造方法
US10290553B2 (en) * 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
KR102405202B1 (ko) * 2016-09-21 2022-06-02 도쿄엘렉트론가부시키가이샤 교차 구조물들을 패터닝하는 방법
US20190326112A1 (en) * 2018-04-19 2019-10-24 Globalfoundries Inc. DEFECT FREE SILICON GERMANIUM (SiGe) EPITAXY GROWTH IN A LOW-K SPACER CAVITY AND METHOD FOR PRODUCING THE SAME
US10964527B2 (en) * 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
US11133211B2 (en) * 2018-08-22 2021-09-28 Lam Research Corporation Ceramic baseplate with channels having non-square corners
US11127830B2 (en) * 2019-01-17 2021-09-21 Micron Technology, Inc. Apparatus with multidielectric spacers on conductive regions of stack structures, and related methods
USD956005S1 (en) 2019-09-19 2022-06-28 Applied Materials, Inc. Shaped electrode
US20210090845A1 (en) * 2019-09-19 2021-03-25 Applied Materials, Inc. Electrostatic filter with shaped electrodes
JP7030858B2 (ja) * 2020-01-06 2022-03-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11830725B2 (en) * 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
EP1615265A1 (en) * 2004-07-06 2006-01-11 Fujitsu Limited Semiconductor device and fabrication method thereof
US20080305644A1 (en) * 2007-06-07 2008-12-11 Denso Corporation Method of manufacturing semiconductor device including trench-forming process
TW200908215A (en) * 2007-08-06 2009-02-16 United Microelectronics Corp Method of high density plasma gap-filling with minimization of gas phase nucleation

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5453156A (en) * 1994-11-01 1995-09-26 Taiwan Semiconductor Manufactoring Company Ltd. Anisotropic polysilicon plasma etch using fluorine gases
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
US5854137A (en) * 1996-04-29 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reduction of polycide residues
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
US6127278A (en) * 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
US6057240A (en) * 1998-04-06 2000-05-02 Chartered Semiconductor Manufacturing, Ltd. Aqueous surfactant solution method for stripping metal plasma etch deposited oxidized metal impregnated polymer residue layers from patterned metal layers
US6107206A (en) * 1998-09-14 2000-08-22 Taiwan Semiconductor Manufacturing Company Method for etching shallow trenches in a semiconductor body
US6686292B1 (en) * 1998-12-28 2004-02-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
TW501199B (en) * 1999-03-05 2002-09-01 Applied Materials Inc Method for enhancing etching of TiSix
US6335292B1 (en) 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6432832B1 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Method of improving the profile angle between narrow and wide features
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
US6303482B1 (en) * 2000-06-19 2001-10-16 United Microelectronics Corp. Method for cleaning the surface of a semiconductor wafer
TW552669B (en) * 2000-06-19 2003-09-11 Infineon Technologies Corp Process for etching polysilicon gate stacks with raised shallow trench isolation structures
JP2002110644A (ja) 2000-09-28 2002-04-12 Nec Corp エッチング方法
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US20050106868A1 (en) * 2002-01-01 2005-05-19 Asao Yamashita Etching method
US6943120B1 (en) * 2002-01-23 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve via or contact hole profile using an in-situ polymer deposition and strip procedure
US6960416B2 (en) 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3974465B2 (ja) * 2002-07-10 2007-09-12 Necエレクトロニクス株式会社 ポリマー除去方法
US7485579B2 (en) * 2002-12-13 2009-02-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US8257546B2 (en) 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7276441B1 (en) 2003-04-15 2007-10-02 Lsi Logic Corporation Dielectric barrier layer for increasing electromigration lifetimes in copper interconnect structures
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (ja) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ Lsiデバイスのエッチング方法および装置
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007207930A (ja) * 2006-01-31 2007-08-16 Toshiba Corp 残渣処理システム、残渣処理方法及び半導体装置の製造方法
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
KR100838394B1 (ko) 2007-01-03 2008-06-13 주식회사 하이닉스반도체 하드마스크층을 이용한 반도체소자의 식각 방법
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
KR100777043B1 (ko) 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US20090004875A1 (en) 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US7683447B2 (en) 2007-09-12 2010-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. MRAM device with continuous MTJ tunnel layers
DE102007046846A1 (de) 2007-09-29 2009-04-09 Advanced Micro Devices, Inc., Sunnyvale Seitenwandschutzschicht
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7888653B2 (en) 2009-01-02 2011-02-15 Varian Semiconductor Equipment Associates, Inc. Techniques for independently controlling deflection, deceleration and focus of an ion beam
US8101510B2 (en) 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US7767977B1 (en) 2009-04-03 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Ion source
JP5538959B2 (ja) * 2010-03-09 2014-07-02 東京エレクトロン株式会社 基板の洗浄方法及び半導体製造装置
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
KR20120058113A (ko) 2010-11-29 2012-06-07 삼성전자주식회사 자기 터널 접합 구조체의 제조 방법 및 이를 이용하는 자기 메모리 소자의 제조 방법
US8470462B2 (en) 2010-11-30 2013-06-25 Magic Technologies, Inc. Structure and method for enhancing interfacial perpendicular anisotropy in CoFe(B)/MgO/CoFe(B) magnetic tunnel junctions
JP2013030531A (ja) 2011-07-27 2013-02-07 Central Glass Co Ltd ドライエッチング剤
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9040317B2 (en) * 2012-03-23 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for achieving width control in etching processes
US9059398B2 (en) 2012-08-03 2015-06-16 Applied Materials, Inc. Methods for etching materials used in MRAM applications
FR2995134B1 (fr) * 2012-09-05 2015-12-18 Commissariat Energie Atomique Procede de gravure d'un materiau semiconducteur cristallin par implantation ionique puis gravure chimique a base de chlorure d'hydrogene
JP6035606B2 (ja) * 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9536731B2 (en) * 2013-10-25 2017-01-03 International Business Machines Corporation Wet clean process for removing CxHyFz etch residue

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0283311B1 (en) * 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
EP1615265A1 (en) * 2004-07-06 2006-01-11 Fujitsu Limited Semiconductor device and fabrication method thereof
US20080305644A1 (en) * 2007-06-07 2008-12-11 Denso Corporation Method of manufacturing semiconductor device including trench-forming process
TW200908215A (en) * 2007-08-06 2009-02-16 United Microelectronics Corp Method of high density plasma gap-filling with minimization of gas phase nucleation

Also Published As

Publication number Publication date
US9640385B2 (en) 2017-05-02
TW201701331A (zh) 2017-01-01
WO2016133673A1 (en) 2016-08-25
US20160240385A1 (en) 2016-08-18

Similar Documents

Publication Publication Date Title
TWI688997B (zh) 閘極電極材料殘留物移除製程
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
US9299580B2 (en) High aspect ratio plasma etch for 3D NAND semiconductor applications
KR102514465B1 (ko) 유전체 재료를 증착하기 위한 방법들
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
US10249507B2 (en) Methods for selective etching of a silicon material
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理
TWI817066B (zh) 用於蝕刻用於半導體應用的材料層的方法
WO2020215183A1 (en) Methods for etching a material layer for semiconductor applications
TWI837174B (zh) 沉積介電材料之方法