KR102405202B1 - 교차 구조물들을 패터닝하는 방법 - Google Patents

교차 구조물들을 패터닝하는 방법 Download PDF

Info

Publication number
KR102405202B1
KR102405202B1 KR1020197011172A KR20197011172A KR102405202B1 KR 102405202 B1 KR102405202 B1 KR 102405202B1 KR 1020197011172 A KR1020197011172 A KR 1020197011172A KR 20197011172 A KR20197011172 A KR 20197011172A KR 102405202 B1 KR102405202 B1 KR 102405202B1
Authority
KR
South Korea
Prior art keywords
substrate
structures
target
patterning
intersection
Prior art date
Application number
KR1020197011172A
Other languages
English (en)
Other versions
KR20190045379A (ko
Inventor
세르게이 에이 보로닌
크리스토퍼 탈론
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190045379A publication Critical patent/KR20190045379A/ko
Application granted granted Critical
Publication of KR102405202B1 publication Critical patent/KR102405202B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

패터닝 시스템에서 집적 방식을 사용하여 기판 상에 구조물들을 패터닝하는 방법이 제공되며, 본 발명의 방법은, 처리 챔버 내에 기판을 배치하는 단계 - 기판은 복수의 구조물들 및 패턴을 갖고, 기판은 하부층 및 타겟층을 포함하며, 적어도 하나의 구조물은 다른 구조물과 교차하고, 각각의 교차부는 교차각 및 모서리를 가지며, 집적 방식은 각각의 교차부에서 수직 모서리 프로파일을 필요로 함 -와; 타겟층 상으로 패턴을 전사시키고 각각의 교차부에서 타겟 수직 모서리 프로파일을 달성하기 위해 기판을 교대로 그리고 순차적으로 에칭 및 세정하는 단계와; 타겟 집적 목적을 달성하기 위해 교대적이고 순차적인 에칭 작업 및 세정 작업에서 집적 방식의 선택된 2 개 이상의 동작 변수들을 제어하는 단계를 포함한다.

Description

교차 구조물들을 패터닝하는 방법
본 출원은 "교차 구조물들을 패터닝하는 방법(Method of Patterning Intersecting Structures)"이라는 발명의 명칭으로 2016년 9월 21일자로 출원된 미국 가특허 출원 번호 제62/397,779호의 이익을 주장하는 출원으로서, 이 미국 가특허 출원의 내용들은 본 명세서에서 그 전체가 참고로 병합된다.
본 발명은 반도체 패터닝 방법, 특히 모서리 잔류물 제거(corner residue removal)를 향상시키고 교차 패턴들의 에칭에서 수직 프로파일 타겟(vertical profile target)을 달성하는 방법에 관한 것이다.
교차 패턴들에서의 비휘발성 부산물들 또는 잔류물의 존재는 패터닝된 디바이스들의 성능에 영향을 미친다. 예를 들어, 실리콘 게이트 에칭 후에 게이트 모듈 내에 실리콘 잔류물이 존재하면 디바이스의 기술적 특성들이 변경될 수 있거나 디바이스의 고장이 초래될 수 있다.
실리콘의 선택적 에칭은 예를 들어 브롬화 수소(HBr) 플라즈마들의 사용을 필요로 한다. 다른 조합의 가스들도 사용될 수 있다. Si, 산소 및 Br 간의 반응은 SiBrxOy 의 형태의 많은 양의 비휘발성 부산물을 생성할 수 있다. SiBrxOy 는 또한 프로세스 챔버 벽들 상에 축적되고 가스상(gas phase)으로 탈착되어 기판 상에 재증착된다. 그 결과, 반응성 종의 물리적 투명도가 부분적으로 감소한다. 반응성 이온 궤적들은 특히 마스크 레벨 미만의 기판 피처들에서 제한되며, 따라서 에칭은 교차부들의 모서리들로부터 실리콘 부산물을 제거할 수 없다. 또한, 패턴들이 교차하는 모서리 프로파일을 제어하는 것이 곤란하고; 이것들은 일반적으로 현재의 방식들을 사용하는 수직 프로파일들을 가지고 있지는 않다.
모서리 잔류물 문제 해결에 대한 필요성은 10N 기술 노드 이상에서 매우 중요하다. 또한, 일련의 프로세스가 수직 모서리 프로파일을 생성할 수 있도록 하는 집적 방식이 필요하다. 또한, 교차부 모서리들에서 기판 표면으로부터 비휘발성 부산물의 물리적 제거를 수행할 수 있는 프로세스 또는 일련의 프로세스들이 필요하다. 또한, 집적 목적을 달성하기 위해 하나 이상의 교차 구조물들의 패터닝의 집적 프로세스의 동작 변수들의 적절한 범위를 결정할 필요가 있다.
본 발명의 실시예들은 패터닝 시스템에서 집적 방식을 사용하여 기판 상에 구조물들을 패터닝하는 방법에 관한 것으로서, 본 발명의 방법은, 처리 챔버 내에 기판을 배치하는 단계 - 기판은 복수의 구조물들 및 패턴을 갖고, 기판은 하부층 및 타겟층(target layer)을 포함하며, 적어도 하나의 구조물은 다른 구조물과 교차하고, 각각의 교차부는 적어도 하나의 모서리를 가지며, 집적 방식은 각각의 교차부에서 수직 모서리 프로파일을 필요로 함 -와; 타겟층 상으로 패턴을 전사시키고 각각의 교차부에서 타겟 수직 모서리 프로파일을 달성하기 위해 기판을 교대로 그리고 순차적으로 세정 및 에칭하는 단계와; 타겟 집적 목적을 달성하기 위해 교대적이고 순차적인 세정 작업 및 에칭 작업에서 집적 방식의 선택된 2 개 이상의 동작 변수들을 제어하는 단계를 포함한다.
또한, 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상에 구조물들을 패터닝하는 방법이 제공되며, 본 발명의 방법은, 처리 챔버 내에 기판을 배치하는 단계 - 기판은 하부층 및 타겟층을 포함하며, 적어도 하나의 구조물은 다른 구조물과 교차하고, 각각의 교차부는 교차각 및 수직으로 연장하는 모서리를 가지며, 기판은 각각의 교차부에서 수직 모서리 프로파일을 필요로 하는 패턴을 더 포함함 -; 기판 상의 패터닝된 구조물에 대해 브레이크 스루 에칭 프로세스 및 하프 에칭 프로세스를 수행하는 단계 - 브레이크 스루 에칭 프로세스 및 하프 에칭 프로세스는 모서리들에서 잔류물을 발생시킴 -; 기판에 대해 플라즈마 세정 프로세스를 수행하는 단계; 기판에 대해 소프트 랜딩 에칭(soft landing etch) 프로세스 및 오버 에칭(overetch) 프로세스를 수행하는 단계; 타겟 집적 목적이 충족되지 않으면, 플라즈마 세정 프로세스 및 소프트 랜딩 에칭 프로세스 및 오버 에칭 프로세스의 하나 이상의 동작 변수들을 조정하고, 타겟 집적 목적이 충족될 때까지 플라즈마 세정 프로세스 및 소프트 랜딩 에칭 프로세스 및 오버 에칭 프로세스의 수행을 반복하는 단계를 포함한다.
첨부하는 도면들에서:
도 1은 교차부들에서 잔류물의 존재를 야기하는 게이트 에칭을 수행하기 위해 사용되는 동작들 또는 단계들의 예시적인 종래 기술의 개략도이다.
도 2a는 하드 마스크 및 핀 형상 구조물을 갖는 입력 기판의 예시적인 종래 기술의 개략도이다.
도 2b는 브레이크 스루(break-through) 에칭 프로세스 및 하프 에칭 프로세스 이후의 기판의 예시적인 종래 기술의 개략도이다.
도 2c는 소프트 랜딩(soft-landing) 프로세스 및 오버 에칭 프로세스 이후의 기판의 예시적인 종래 기술의 개략도로서, 감소된 투명도는 비효과적인 모서리 잔류물 제거를 야기한다.
도 2d는 패턴들의 교차부들의 모서리에서의 부산물들의 존재를 강조표시하는 기판의 예시적인 종래 기술의 3 차원 도식도 및 모서리 잔류물의 개략도이다.
도 2e는 패턴들의 교차부들의 모서리들에서의 부산물들의 존재를 도시하는 기판의 예시적인 종래 기술의 개략도이다.
도 3은 본 발명의 일 실시예에서 게이트 에칭을 수행하는데 사용되는 동작들 또는 단계들의 예시적인 개략도이다.
도 4a는 본 발명의 일 실시예에서의 하드 마스크 및 핀 형상 구조물을 갖는 입력 기판의 예시적인 개략도이다.
도 4b는 본 발명의 일 실시예에서 브레이크 스루 에칭 프로세스 및 하프 에칭 프로세스 이후의 기판의 예시적인 개략도이다.
도 4c는 본 발명의 일 실시예에서 세정 프로세스 이후의 패터닝된 구조물을 갖는 기판의 예시적인 개략도이다.
도 4d는 본 발명의 일 실시예에 따른 소프트 랜딩 에칭 프로세스 및 오버 에칭 프로세스 이후의 기판의 예시적인 개략도이다.
도 4e는 본 발명의 일 실시예에서의 게이트 에칭에서 패턴들의 교차부들의 모서리들에서의 부산물들의 존재를 나타내는 기판의 예시적인 개략도이다.
도 5a는 패턴들의 교차부들의 모서리들에서의 부산물들의 존재를 나타내는 기판의 예시적인 3 차원 도식도 및 모서리 잔류물의 개략도이다.
도 5b는 패턴들의 교차부의 모서리에서의 부산물들의 존재 및 주변 구조물과 비교된 모서리 잔류물의 상대 크기를 나타내는 기판의 예시적인 평면도이다.
도 6a는 본 발명의 일 실시예에서 교차 구조물들을 갖는 기판에 대한 집적 프로세스를 수행하는 방법에 대한 예시적인 프로세스 흐름도이다.
도 6b는 본 발명의 일 실시예에서 교차 구조물들을 갖는 기판에 대한 집적 프로세스를 수행하는 다른 방법에 대한 예시적인 프로세스 흐름도이다.
도 7은 동작들의 프로세스 제어를 용이하게 하고 집적 목적을 달성하기 위해 계측 데이터를 처리하는 집적 시스템의 제어기를 도시하는 예시적인 시스템도이다.
다음의 설명에서, 설명의 목적과 제한 없이, 처리 시스템의 특정 기하학적 구조, 본 명세서에 사용되는 다양한 구성 요소들 및 프로세스들의 설명과 같은 구체적인 세부 사항들이 제시된다. 그러나, 본 발명은 이러한 구체적인 세부 사항들에서 벗어나는 다른 실시예들에서 실시될 수 있음을 이해해야 한다.
유사하게, 설명의 목적상, 발명에 대한 완전한 이해를 제공하기 위해 구체적인 숫자들, 재료들, 및 구성들이 명시되어 있다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항들이 없이 실시될 수 있다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표시들이며, 반드시 일정한 비율로 그려진 것은 아니라는 점을 이해해야 한다.
다양한 동작들은 본 발명을 이해하는데 가장 도움이 되는 방식으로 차례로 다수의 개별 동작들로서 설명될 것이다. 그러나, 설명의 순서는 이러한 동작들이 반드시 순서에 의존하는 것을 의미하는 것으로 해석되어서는 안 된다. 특히, 이러한 동작들은 표현 순서대로 수행할 필요는 없다. 설명된 동작들은 설명된 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고 및/또는 설명된 동작들은 추가적인 실시예들에서 생략될 수 있다.
본 명세서에 사용된 바와 같이, "방사선 감응 재료"라는 용어는 포토레지스트와 같은 감광성 재료들을 의미하고 이를 포함한다.
본 명세서에서 사용되는 "기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 재료 부분 또는 구조물을 포함할 수 있으며, 예를 들어 반도체 기판 또는 얇은 막과 같은 베이스 기판 구조물 상의 또는 위에 놓여 있는 층과 같은 베이스 기판 구조물일 수 있다. 기판은 통상적인 실리콘 기판 또는 반도체 재료의 층을 포함하는 다른 벌크 기판(bulk substrate)일 수 있다. 본 명세서에 사용된 바와 같이, "벌크 기판"이라는 용어는 실리콘 기판 뿐만 아니라 실리콘-온-사파이어("SOS") 기판 및 실리콘-온-글래스("SOG") 기판, 베이스 반도체 기초 상의 실리콘의 에피택셜 층과 같은 실리콘-온-절연체(silicon-on-insulator, SOI) 기판, 및 실리콘 게르마늄, 게르마늄, 갈륨 비소, 갈륨 질화물, 및 인듐 인화물과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함할 수 있다. 기판은 도핑되거나 도핑되지 않을 수 있다. 따라서, 기판은 패턴화되거나 패턴화되지 않은 임의의 특정 베이스 구조물, 하부층 또는 상부층으로 제한되도록 의도되는 것이 아니라, 오히려 이러한 층 또는 베이스 구조물, 및 층 및/또는 베이스 구조물들의 임의의 조합을 포함하도록 고려된다. 아래의 설명은 특정 유형의 기판을 참조할 수 있지만, 이는 단지 설명을 위한 것이며 제한적인 것은 아니다.
명세서에서 잔류물, 비휘발성 부산물, 및 부산물이라는 용어는 상호 교환적으로 사용된다.
이하, 도면들을 참조하면, 동일한 참조 번호들은 여러 도면에서 동일하거나 대응하는 부분을 나타낸다.
비록 본 발명의 특정 실시예들만이 위에서 상세히 설명되었지만, 당업자는 본 발명의 신규한 교지 및 이점들로부터 실질적으로 벗어나지 않고 실시예들에서 많은 변형들이 가능하다는 것을 명백히 이해할 수 있을 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되도록 의된다.
도 1은 구조물들의 교차부들의 모서리에서 잔류물의 존재를 야기하는 게이트 에칭을 수행하는데 사용되는 동작들 또는 단계들의 예시적인 종래 기술의 개략도(100)이다. 브레이크 스루 및 하프 에칭 단계(104)는 기판의 표면으로부터 자연 산화물을 제거하기 위한 비선택적 에칭이다. 브레이크 스루 에칭 및 하프 에칭 단계는 당업자에게 공지되어 있으므로 여기서는 상세히 논의하지 않을 것이다. 하프 에칭 또는 메인 에칭은 에칭되는 재료의 상당 부분을 제거하는 제한된 선택비를 갖는 고도로 이방성인 에칭 단계이다. 에칭 단계 1(108)은 소프트 랜딩 에칭 및 오버 에칭 프로세스들을 포함할 수 있다. 소프트 랜딩 에칭은 산화물이나 Si3N4 와 같은 다른 유전체에 대한 선택비가 높은 에칭 단계로, 패터닝된 구조물의 일부분 상에 "랜드(land)"하는 것과 유사하며, 이 경우 핀 형상 구조물의 핀 상에 랜딩된다. 소프트 랜딩 에칭은 에칭 공정에서 이방성이 제한적일 수 있는데, 이방성은 하프 에칭 또는 메인 에칭 단계와 큰 차이가 있다. 오버 에칭 단계는 에칭되는 재료의 완전한 제거에 요구되는 비교적 긴 시간 동안 고도로 선택적인 에칭을 제공한다. 전술한 바와 같이, 전술한 유형의 에칭은 당업계에 공지되어 있으므로 여기서는 상세히 설명하지 않을 것이다. 도 1로 돌아가서, 에칭 단계 N(116)까지 실리콘 에칭 단계들이 계속해서 반복되어 제거될 수 있는 잔류물 양이 배치된다.
도 2a는 교차부(216)를 형성하는 하드 마스크(202) 및 핀 형상 구조물(214)을 포함하는 패터닝된 구조물(206)을 갖는 입력 기판(204)의 예시적인 종래 기술의 개략도(200)이다. 핀 형상 구조물(214)은 핀 형상 전계 효과 트랜지스터(FINFET)일 수 있다. 입력 기판(204)은 패터닝된 구조물(206) 및 하부층(208), 핀 형상 구조물(214) 및 타겟 전사층(212)을 포함한다. 입력 기판(204)은 패터닝된 구조물(206) 및 핀 형상 구조물(214)을 생성하는 일련의 이전 증착 및 에칭 프로세스의 생산물일 수 있다.
도 2b는 브레이크 스루(break-through) 에칭 프로세스 및 하프 에칭 프로세스 이후의 기판(222)의 예시적인 종래 기술 개략도(220)이다. 패터닝된 구조물(230)은 예를 들어 SiBrxOy 로 나타낸 실리콘, 브롬 및 산소를 포함하는 화합물을 포함하는 비휘발성 부산물 또는 잔류물(223, 236)의 코팅을 얻는 하드 마스크(224, 228)를 나타낸다. 잔류물(223, 236)은 또한 프로세스 챔버 벽(도시되지 않음)에 축적된다. 잔류물(223, 236)은 챔버 벽으로부터 탈착하고, 플라즈마 방전에서 SiBr 고 반응성 종으로 재분해되고 기판(222) 상에 SiBrO의 형태로 흡착되어, 특히 하드 마스크(224, 228) 아래의 패터닝된 구조물(230)의 아랫부분에서의 패터닝된 구조물(230)의 투명도를 감소시킨다. 이하에 더 상세히 설명되는 바와 같이, 투명도의 손실은 종래 기술 방식이 의도된 디바이스의 품질에 영향을 미치는 교차 패턴(226)의 원하는 패턴 프로파일과 잔류물의 제거를 얻을 수 없게 한다.
도 2c는 소프트 랜딩 프로세스 및 오버 에칭 프로세스 이후의 기판(256)의 예시적인 종래 기술의 개략도(240)로서, 감소된 투명도는 비효과적인 모서리 잔류물 제거를 야기한다. 패터닝된 구조물(258)은 지면(page) 내로 들어가는 라인 및 공간(244, 248)으로 이루어진 교차부(246)와 지면에 평행한 핀(254)을 포함한다. 패터닝된 구조물(258)의 윗부분(244, 248) 주변의 잔류물(242, 250)의 코팅의 존재는 교차부(246)의 모서리(245)에서 패터닝된 구조물(258)의 물리적 투명도를 감소시킨다. 플라즈마 내의 뉴트럴(254)과는 반대로 양의 라디칼(252)의 궤적은 하드 마스크(244, 248)의 잔류물(242, 250)의 코팅이 교차부(246)의 모서리(245)에 도달하기 위한 공간의 일부를 차단하는 경우로 제한될 수 있다.
도 2d는 라인 및 공간(263)의 패턴과 핀 형상 구조물(262)의 교차부(267)의 모서리에서의 비휘발성 부산물 또는 잔류물의 존재를 나타내는 기판(266)의 예시적인 종래 기술의 3 차원 개략도(260)이다. 라인 및 공간(263)의 패턴과 핀 형상 구조물(262)의 교차부의 모서리(268)에서의 잔류물(270)의 클로즈업(267)의 개략도가 또한 모서리(268)에서의 잔류물(270)의 위치 및 도달 곤란성을 강조표시하도록 도시되어 있다. 잔류물(270)의 높이는 핀 형상 구조물(262)과 게이트 또는 패터닝된 구조물(263)의 상대적인 높이에 의해 왜소해진다. 핀 형상 구조물(262) 및 게이트 또는 패터닝된 구조물(263)을 포함하는 주변 피처들의 높이는 일반적으로 잔류물(270)의 높이보다 10-100 배 크다. 잔류물(270)의 폭은 핀 형상 구조물(262) 및 게이트 또는 패터닝된 구조물(263)의 치수와 비교하여 작기 때문에, 특정 잔류물(270)을 에칭하기 위한 종횡비가 매우 커서 잔류물(270)을 에칭하는 것이 어렵다.
도 2e는 게이트 구조물(296) 및 핀 형상 구조물(292)을 포함하는 패터닝된 구조물(294)의 교차부(298)의 아랫부분에서의 모서리(284)의 부산물 또는 잔류물의 존재를 나타내는 기판(282)의 예시적인 종래 기술의 평면 개략도(280)이다. 도 2e는 에칭 플라즈마에 의해 생성된 라디칼에 대한 모서리(284)의 물리적 투명도의 부족으로 인해 교차부(298)의 모서리(284)를 에칭하는 어려움을 다시 강조한다.
도 3은 본 발명의 일 실시예에서 교차 구조물의 패터닝을 수행하는데 사용되는 동작들이나 단계들의 예시적인 개략적인 흐름도(300)이다. 브레이크 스루 및 하프 에칭 단계(304)는 기판의 표면으로부터 자연 산화물을 제거하기 위한 비선택적 에칭인 브레이크 스루 에칭을 포함한다. 하프 에칭 단계는 제한된 에칭 선택비를 갖는 고도로 비등방성인 에칭이며, 에칭되는 재료의 상당 부분을 제거한다. 브레이크 스루 에칭에서 하드 마스크 에칭에 사용되는 일반적인 재료들은 이산화 규소, 질화규소 등이다. 브레이크 스루 에칭 및 하프 에칭에 사용되는 일반적인 가스는 HBr, Cl2, SF6, CF4, CHF3, 및/또는 CH3F를 포함하지만, 이들로 제한되지는 않는다. 사용된 동작 파라미터들은 0 내지 3,000 W 범위의 소스 전력, 0 내지 1,000 W 범위의 바이어스 전력, 3 mT 내지 500 mT 범위의 압력, 및 1 sccm 내지 5,000 sccm의 가스 유량이다. 브레이크 스루 에칭 및 하프 에칭은 당업자에게 공지되어 있으며, 여기서는 상세히 논의되지는 않을 것이다.
에칭 단계 1(312)는 소프트 랜딩 에칭 및 오버 에칭을 포함할 수 있다. 전술 한 바와 같이, 하프 에칭 또는 메인 에칭은 에칭된 재료의 상당 부분을 제거하는 제한된 선택비를 갖는 고도로 이방성인 에칭 단계이다. 소프트 랜딩 에칭은 패터닝된 구조물의 일부분 상에 "랜드(land)"하는 산화물에 대한 높은 선택비를 갖는 에칭 단계이며, 이 경우 산화물은 핀 형상 구조물의 핀 상에 랜딩된다. 소프트 랜딩 에칭은 에칭 프로세스에서 제한된 이방성을 가질 수 있으며, 여기서 이방성은 하프 에칭 단계와 큰 차이가 있다. 주 에칭 용 가스는 HBr, Cl2, SF6, CF4, CHF3, 또는 CH3F 중 하나 이상을 포함할 수 있다. 소프트 랜딩 에칭은 선택비를 향상시키기 위해 더 많은 HBr을 이용할 수 있다. 동작 변수들의 범위는 1 내지 3,000 W 범위의 소스 전력, 0 내지 1,000 W 범위의 바이어스 전력, 3 내지 500 mT 범위의 압력; 1 sccm 내지 5,000 sccm 범위의 가스 유량을 포함할 수 있다.
세정 단계(316)는 패터닝된 구조물의 아랫부분의 반응성 종에 대한 물리적 투명도를 증가시키도록 구성된 에칭 단계들 사이에 삽입되는 새로운 단계이다. 세정 단계는 예를 들어 CF4, NF3, SF6, C2F6, C3F8, C4F8, CH3F, CH2F2, HF, XeF2 및 CHF3를 포함하는 불소계 가스를 사용할 수 있다. 염소계 가스와 같은 다른 할로겐 가스도 사용될 수 있다. 가장 많이 사용되는 가스는 CF4, NF3 및/또는 SF6 이다. 동작 변수들은 2 mT 내지 100 mT 범위의 압력, 5 sccm 내지 10,000 sccm 범위의 가스 유량 및 50 W 내지 7,000 W 범위의 동작 소스 전력을 포함한다. 에칭 반응에서, 예를 들어 SiBrx, SiBr4 는 가스상에서 SiBr 및 SiBr2 부산물을 형성하는 플라즈마에서 재분리될 수 있는 휘발성 SiBr4를 형성한다. 산소와 반응하는 이들 가스는 표면 상에 SiBrxOy 막을 형성할 수 있으며, 여기서 x 및 y는 0이 아니다. SiBrx 종은 주 에칭 프로세스로 표시되는 SiBr*, SiBr2*, SiBr3*, 및 SiBr4 를 포함할 수 있다. 에칭 프로세스는 다음의 수학식 1과 같은 반응에 의해 특징지어질 수 있는데,
Figure 112019039869010-pct00001
즉, 에칭 작용을 수행하기 위해 기판의 표면을 이탈하는 휘발성 SBr4 를 형성하는 반응으로 특징지어질 수 있다. 챔버 벽으로부터의 산소 또는 챔버의 석영 부분의 부식과 함께, SiBrx 화합물은 SiBrxOy 가 되며, 여기서 x < 3 이며, 이것은 기판의 패턴 구조물들, 즉 핀 형상 구조물과 게이트 구조물의 교차부들의 모서리들 상에 재증착될 수 있다. 사용된 가스가 염소인 경우, 형성되는 비휘발성 화합물은 SiClxOy 이고, 여기서 x 및 y는 0이 아니다.
에칭 단계 및 세정 단계는 세정 단계(320) 및 에칭 단계 N(324)까지 반복적으로 수행되는데, 이는 잔류물의 타겟 제거 비율(percentage), 게이트 핀 모서리들에서의 잔류물 없음, 타겟 부산물 재증착, 및/또는 교차부들에서의 타겟 모서리 프로파일을 포함하는 타겟 집적 목적이 달성되는 경우이다.
도 4a는 하드 마스크(402), 핀 형상 구조물(414), 및 교차부(416)를 포함하는 패터닝된 구조물(406)을 갖는 입력 기판(404)의 예시적인 개략도(400)이다. 입력 기판(404)은 패터닝된 구조물(406), 하부층(408), 핀 형상 구조물(414), 및 타겟 전사층(412)을 포함한다. 입력 기판(404)은 패터닝된 구조물(406) 및 핀 형상 구조물(414)을 생성하는 일련의 이전 증착 및 에칭 프로세스들의 생산물일 수 있다.
도 4b는 브레이크 스루(break-through) 에칭 프로세스 및 하프 에칭 프로세스 이후의 기판(422)의 예시적인 개략도(420)이다. 하드 마스크(424, 448), 핀 형상 구조물(432), 및 교차부(426)를 포함하는 패터닝된 구조물(430)은 비휘발성 부산물 또는 잔류물(434, 436)의 코팅을 얻는 하드 마스크(424, 448)를 나타낸다. 부산물은 SiBrxOy 로 나타낸 실리콘, 브롬, 및 산소를 포함하는 화합물을 포함할 수 있다. 잔류물(434, 436)은 또한 프로세스 챔버 벽(도시되지 않음)에 축적된다. 잔류물(434, 436)은 챔버 벽으로부터 탈착되고 기판 상에 재증착되어, 특히 하드 마스크(424, 448) 아래의 패터닝된 구조물(430)의 아랫부분에서의 패터닝된 구조물(430)의 투명도를 감소시킨다. 투명도의 손실은 교차 패턴(426)에 대한 수직 모서리 프로파일을 얻을 수 없게 하고, 의도된 디바이스의 품질에 영향을 주는 일정량의 잔류물을 남겨둘 수 있다.
도 4c는 본 발명의 일 실시예에서 중합체 세정 프로세스 이후의 패터닝된 구조물(450)을 갖는 기판(442)의 예시적인 개략도(440)이다. 패터닝된 구조물(450)은 핀 형상 구조물(452), 하드 마스크(444, 448), 및 교차부(446)를 나타낸다. 하드 마스크는 예를 들어, SiBrxOy 로 나타낸 실리콘, 브롬 및 산소를 포함하는 화합물을 포함하는 비휘발성 부산물 또는 잔류물(454, 456)의 코팅을 갖는다. 염소계 가스가 사용되는 경우, 비휘발성 부산물은 SiClxOy 이다. 양쪽 모두의 경우에서, x와 y는 0이 아니다. 잔류물(454, 456)은 또한 프로세스 챔버 벽(도시되지 않음)에 축적된다. 중합체 세정 프로세스 이후에, 하드 마스크(444, 448) 아래의 패터닝된 구조물(450)의 부분의 물리적 투명도가 더 높고, 여기서 하드 마스크(444, 448) 주위의 잔류물의 크기는 브레이크 스루 에칭 및 하프 에칭 이후에 도 4b에서 잔류물(454, 456)의 크기와 비교하여 더 작다. 또한, 더 적은 잔류물(도시되지 않음)은 교차부(446)의 모서리(458)에 있다.
전술한 바와 같이, 주 에칭 단계는 소프트 랜딩 에칭 및 오버 에칭을 포함할 수 있다. 주 에칭용 가스는 HBr, Cl2, SF6, CF4, CHF3 또는 CH3F 중 하나 이상을 포함할 수 있다. 소프트 랜딩 에칭은 선택비를 향상시키기 위해 더 많은 HBr을 이용할 수 있다. 동작 변수들의 범위는 1 내지 3,000 W 범위의 소스 전력, 0 내지 1,000 W 범위의 바이어스 전력, 3 내지 500 mT 범위의 압력; 1 sccm 내지 5,000 sccm 범위의 가스 유량을 포함할 수 있다.
도 4d는 라인 및 공간의 패턴들(463)과 핀 형상 구조물(471)의 교차부(466)의 모서리(479)에서 보다 적은 비휘발성 부산물 또는 잔류물(도시되지 않음)을 도시하는 기판(476)의 패터닝된 구조물(478)의 예시적인 측면 개략도(460)이다. 패터닝된 구조물(478)의 윗부분(464, 468) 주위의 잔류물(462, 470)의 코팅의 존재는 교차부(466)의 모서리(479) 내의 잔류물(도시되지 않음)의 물리적 투명도를 감소시키지만, 각각의 세정 단계 이후에는 잔류물이 적다. 플라즈마 내의 뉴트럴(474)과 대조적으로 양의 라디칼(472)의 궤적은 종래 기술의 경우와 같이 제한되지 않는다. 또한, 세정 단계 및 에칭 단계가 반복됨에 따라, 모서리(479)에 도달하는 공간 또는 교차부(466)의 투명도는 향상된다. 타겟 집적 목적을 충족시키면 세정 단계 및 에칭 단계의 반복은 중지된다.
도 4e는 본 발명의 일 실시예에서 게이트 구조물(496)과 핀 형상 구조물(492)에 있어서 패터닝된 구조물(494)의 교차부(486)의 모서리(484)에 부산물 또는 잔류물이 존재하지 않음을 나타내는 기판(482)의 예시적인 개략도(480)이다. 잔류물의 부재 및 모서리(484)의 수직 프로파일은 전술한 바와 같이 세정 단계 및 에칭 단계의 반복을 수행한 결과이다. 세정 단계 및 에칭 단계는 잔류물의 타겟 제거 비율, 게이트 핀 모서리에서의 잔류물 없음, 타겟 부산물 재증착, 및 교차부에서의 타겟 모서리 프로파일을 포함하는 타겟 집적 목적이 달성될 때까지 반복적으로 수행된다.
도 5a는 라인 및 공간(504)의 패턴과 핀 형상 구조물(502)의 교차부(508)의 모서리에서의 비휘발성 부산물 또는 잔류물의 존재를 나타내는 기판(506)의 예시적인 3 차원 개략도(500)이다. 라인 및 공간(504)의 패턴과 핀 형상 구조물(502)의 교차부의 모서리(518)에서의 잔류물(512)의 클로즈업(508)의 개략도가 또한 모서리(518)에서 잔류물(512)의 위치 및 도달 곤란성을 강조표시하기 위해 도시되어 있다. 전술한 바와 같이, 잔류물(512)의 높이는 핀 형상 구조물(502)과 게이트 구조물(510)의 양쪽의 상대 높이에 의해 왜소하게 된다. 핀 형상 구조물(502)과 게이트 구조물(504)을 포함하는 주변 구조물들의 높이는 일반적으로 잔류물(512)의 높이 보다 10-100 배 더 크다. 유사하게, 잔류물(512)의 폭은 핀 형상 구조물(502)과 게이트 또는 패터닝된 구조물(510)의 유사한 치수와 비교하여 작기 때문에, 특정 잔류물(512)을 에칭할 때 종횡비가 매우 커서, 잔류물(512)을 에칭하는데에 어려움이 있다.
도 5b는 핀 형상 구조물(554)의 교차부의 모서리에서의 잔류물(도시되지 않음)의 상대 치수를 나타내는 기판(556)의 일 부분의 예시적인 평면도 이미지(550)이다. 라인 A(570)는 핀 형상 구조물(554)의 모서리(574)로부터 하부층(558)의 에지까지의 거리이다. 일반적으로, 잔류물(도시되지 않음)은 라인 A(570)의 매우 작은 부분이며, 주변 구조물과 비교하여 잔류물의 실제적인 상대 크기에 관한 도 5a에서의 설명을 강화시킨다. 주변 구조물과 잔류물의 종횡비는 잔류물을 에칭하고 교차하는 구조물들의 수직 모서리 프로파일을 달성하는데 있어서 중요한 요인이다.
도 6a는 본 발명의 일 실시예에서 교차하는 구조물들을 갖는 기판에 대한 집적 프로세스를 수행하는 방법에 대한 예시적인 프로세스 흐름도(600)이다. 동작 604에서는, 처리 챔버 내에 기판이 배치되고, 기판은 하부층 및 타겟층을 포함하는 복수의 구조물들 및 패턴을 가지며, 적어도 하나의 구조물은 다른 구조물과 교차하고, 각각의 교차부는 모서리를 가지며, 집적 방식은 각각의 교차부에서 수직 모서리 프로파일을 필요로 한다.
동작 608에서는, 기판에 대해 브레이크 스루 에칭 프로세스 및 하프 에칭 프로세스가 수행된다. 에칭 프로세스의 세부 사항들은 도 4c와 관련하여 이전에 논의되었기 때문에 여기에서는 그 설명이 반복되지 않는다. 동작 616에서는, 패턴을 타겟층 상으로 전사시키고 각각의 교차부에서 타겟 수직 모서리 프로파일을 달성하기 위해 에칭 프로세스 및 세정 프로세스가 기판에 대해 교대로 그리고 순차적으로 수행된다. 에칭 프로세스는 도 4d와 관련하여 설명된 프로세스와 유사하기 때문에 여기에서는 그 설명이 반복되지 않는다. 플라즈마 세정 프로세스는 도 4c와 관련하여 설명된 세정 프로세스와 유사하며, 프로세스의 세부 사항은 여기에서 반복되지 않는다. 플라즈마 세정 프로세스는 HBr, Cl2, SF6, CF4, CHF3, 또는 CH3F 중 하나 이상을 사용하는 불소계 플라즈마를 사용할 수 있다. 염소계 가스와 같은 다른 할로겐 가스도 사용될 수 있다.
동작 620에서는, 목표 집적 목적을 달성하기 위해 브레이크 스루 에칭 프로세스 및 하프 에칭 프로세스와 교대적이고 순차적인 에칭 작업 및 세정 작업에서 집적 방식의 선택된 2 개 이상의 동작 변수들을 동시에 제어한다. 잔류물의 타겟 제거 비율은 90 내지 100%의 범위일 수 있다.
도 6b는 본 발명의 일 실시예에서 교차 패턴 구조물들을 갖는 기판에 대한 집적 프로세스를 수행하는 다른 방법에 대한 예시적인 프로세스 흐름도(650)이다. 동작 654에서는, 처리 챔버 내에 기판이 배치되고, 기판은 하부층 및 타겟층을 포함하는 복수의 패터닝된 구조물들을 가지며, 적어도 하나의 패터닝된 구조물은 다른 패터닝된 구조물과 교차하고, 각각의 교차부는 적어도 하나의 모서리를 가지며, 집적 방식은 각각의 교차부에서 수직 모서리 프로파일을 필요로 한다.
동작 658에서는, 기판에 대해 브레이크 스루 에칭 및 하프 에칭 프로세스가 수행된다. 이 동작은 도 6a의 동작 608과 유사하며, 여기에서는 그 동작의 상세한 설명이 반복되지 않을 것이다. 동작 662에서는, 기판에 대해 플라즈마 세정 프로세스가 수행된다. 플라즈마 세정 프로세스는 HBr, Cl2, SF6, CF4, CHF3, 또는 CH3F 중 하나 이상을 사용하는 불소계 플라즈마를 사용할 수 있다. 염소계 가스와 같은 다른 할로겐 가스도 사용될 수 있다. 동작 666에서는, 기판에 대해 소프트 랜딩 에칭 프로세스 및 오버 에칭 프로세스가 수행된다. 이 동작은 도 4c와 관련하여 설명된 프로세스와 유사하며, 여기에서는 그 설명이 반복되지 않는다.
동작 670에서는, 타겟 집적 목적이 달성되면, 기판에 대한 처리가 완료된다. 그렇지 않으면, 동작 674에서, 동작 변수들이 조정되고, 집적 목적이 달성될 때까지 플라즈마 세정 프로세스와 소프트 랜딩 에칭 프로세스 및 오버 에칭 프로세스가 반복된다. 반복 회수는 에칭 가스 혼합물의 유량, 챔버 온도, 처리 시간의 길이, 더 낮은 및 더 높은 전극 전력, 및 다른 요인들에 의존한다. 잔류물의 타겟 제거 비율은 90 내지 100%의 범위일 수 있다.
플라즈마 세정 프로세스와 소프트 랜딩 에칭 프로세스 및 오버 에칭 프로세스의 반복의 필요성은 임계 치수 주사 전자 현미경(CDSEM), 단면 SEM(XSEM) 등을 사용하여 기판을 측정함으로써 결정될 수 있다. 대안적으로, 반사계, 엘립소미터 등을 포함하는 광학 계측 디바이스들, 및 광학 방출 분광 디바이스들과 같은 현장 계측 디바이스들을 사용하여 측정이 수행될 수 있다. 측정은 브레이크 스루 에칭 및 하프 에칭 프로세스, 플라즈마 세정 프로세스, 소프트 랜딩 에칭, 및 오버 에칭 프로세스에서 동작 변수들에 대한 조정이 처리를 반복하기 전에 이루어질 수 있는 제어기로 전달될 수 있다.
일 실시예에서, 패터닝된 구조물의 임계 치수(critical dimension, CD)는 프로세스 완료후 및 프로세스 툴로부터 기판의 물리적 제거 후에 측정된다. 임계 치수(CD)가 목표치를 벗어나면, 에칭 모델 등에 따라 자동으로 또는 프로세스 엔지니어이거나 툴 조작자에 의해 수동으로 단계들의 수와 동작 파라미터들을 조정할 수 있다.
도 7은 본 발명의 일 실시예에서의 패터닝 프로세스를 이용하는 집적 방식의 예시적인 시스템도(701)이다. 전술한 식별된 프로세스 조건들을 수행하기 위해 구성된 처리 시스템(700)은 처리 챔버(710), 처리될 기판(725)이 부착되는 기판 홀더(719), 및 진공 펌핑 시스템(750)으로 구성된 도 7에 도시되어 있다. 기판(725)은 반도체 기판, 기판, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 처리 챔버(710)는 기판(725)의 표면 부근에서 처리 영역(745)을 에칭하는 것을 용이하게 하도록 구성될 수 있다. 이온화 가능한 가스 또는 프로세스 가스의 혼합물은 가스 분배 시스템(740)을 통해 도입된다. 프로세스 가스의 주어진 흐름에 대해, 프로세스 압력은 진공 펌핑 시스템(750)을 사용하여 조정된다. 이 처리는 기판(725)의 노출된 표면으로부터 재료의 제거를 도울 수 있다. 처리 시스템(700)은 190 mm 기판, 300 mm 기판, 또는 그 이상과 같은 임의의 원하는 크기의 기판들을 처리하도록 구성될 수 있다.
기판(725)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예를 들어, 정전 클램핑 시스템)과 같은 클램핑 시스템(728)을 통해 기판 홀더(719)에 부착될 수 있다. 또한, 기판 홀더(719)는 기판 홀더(719) 및 기판(725)의 온도를 조정 및/또는 제어하도록 구성된 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 기판 홀더(719)로부터 열을 수신해서, 냉각시 열교환 시스템(도시되지 않음)으로 열을 전달하거나, 가열시 열 교환기 시스템으로부터 기판 홀더(719)로 열을 전달하는 열 순환 유체의 재순환 흐름을 포함한다. 다른 실시예들에서, 저항성 가열 소자 또는 열 전기 히터/냉각기와 같은 가열/냉각 소자들은 기판 홀더(719) 뿐만 아니라 처리 챔버(710)의 챔버 벽 및 처리 시스템(700) 내의 임의의 다른 구성 요소에 포함될 수 있다.
또한, 열 전달 가스는 기판(725)과 기판 홀더(719) 사이의 가스 갭 열 전도성을 개선하기 위해 후면 가스 공급 시스템(726)을 통해 기판(725)의 후면으로 전달될 수 있다. 이러한 시스템은 승온 또는 저온에서 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 2 구역 가스 분배 시스템을 포함할 수 있으며, 여기서 헬륨 가스 갭 압력은 기판(725)의 중앙과 에지 사이에서 독립적으로 변화될 수 있다.
도 7에 도시된 실시예에서, 기판 홀더(719)는 RF 전력이 처리 영역(745)에 결합되는 상부 전극(770) 및 하부 전극(722)을 포함할 수 있다. 예를 들어, 기판 홀더(719)는 RF 발생기(730)로부터 선택적인 임피던스 매치 네트워크(732)를 통해 기판 홀더(719)로의 RF 전력의 전송을 통해 RF 전압으로 전기적으로 바이어스될 수 있다. RF 전기 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지하는 역할을 할 수 있다. 이러한 구성에서, 시스템은 반응 이온 에칭(RIE) 반응기로서 동작할 수 있으며, 챔버 및 상부 가스 주입 전극은 접지면으로서 작용한다. RF 바이어스에 대한 일반적인 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 잘 알려져 있다.
또한, RF 전압에서 전극(722)의 전기적 바이어스는 펄스형 바이어스 신호 제어기(731)를 사용하여 펄스화 될 수 있다. RF 발생기(730)로부터 출력된 RF 전력은 예를 들어 오프 상태와 온 상태 사이에서 펄스화 될 수 있다. 대안적으로, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 또한, 임피던스 매치 네트워크(732)는 반사된 전력을 감소시킴으로써 플라즈마 처리 챔버(710)에서 플라즈마로의 RF 전력의 전달을 향상시킬 수 있다. 매치 네트워크 토폴로지(예컨대, L-타입, Pi-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
가스 분배 시스템(740)은 프로세스 가스들의 혼합물을 도입하기 위한 샤워헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(740)은 프로세스 가스들의 혼합물을 도입하고 기판(725) 위에 프로세스 가스들의 혼합물의 분포를 조정하기 위한 다중 영역 샤워헤드 설계를 포함할 수 있다. 예를 들어, 다중 영역 샤워헤드 설계는 기판(725) 위의 실질적으로 중심 영역에 대한 프로세스 가스 흐름 또는 조성의 양에 대해 기판(725) 위의 실질적으로 주변 영역으로 프로세스 가스 흐름 또는 조성을 조정하거나 중심 흐름 및 에지 흐름으로 분할되도록 구성될 수 있다.
진공 펌핑 시스템(750)은 초당 약 8000 리터(또는 그 이상)까지의 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP) 및 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 디바이스들에서, 초당 800 내지 3000 리터의 TMP가 사용될 수 있다. TMP는 일반적으로 약 50 mTorr 미만의 저압 처리에 유용하다. 고압 처리(즉, 약 80 mTorr 보다 큰)를 위해, 기계식 부스터 펌프 및 건식 러핑 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 디바이스(도시되지 않음)가 플라즈마 처리 챔버(710)에 결합될 수 있다.
전술한 바와 같이, 제어기(755)는 플라즈마 처리 시스템(700)으로부터의 출력을 모니터할 뿐만 아니라 처리 시스템(700)으로의 입력을 전달 및 활성화시키기에 충분한 제어 전압을 생성할 수 있는 마이크로프로세서, 메모리, 및 디지털 I/O 포트를 포함할 수 있다. 또한, 제어기(755)는 RF 발생기(830), 펄스형 바이어스 신호 제어기(731), 임피던스 매치 네트워크(732), 가스 분배 시스템(740), 진공 펌핑 시스템(750), 및 기판 가열/냉각 시스템(도시되지 않음), 후면 가스 공급 시스템(726), 및/또는 정전 클램핑 시스템(728)과 결합할 수 있으며 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 기판(725) 상에 플라즈마 에칭 프로세스 또는 PHT 프로세스와 같은 플라즈마 보조 프로세스를 수행하기 위해 프로세스 레시피에 따라 처리 시스템(700)의 전술한 구성 요소들에 대한 입력을 활성화시키는데 이용될 수 있다.
또한, 처리 시스템(700)은 RF 전력이 RF 발생기(772)로부터 선택적인 임피던스 매치 네트워크(732)를 통해 결합될 수 있는 상부 전극(770)을 더 포함할 수 있다. 상부 전극에 RF 전력을 인가하기 위한 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 또한, 하부 전극에 전력을 인가하기 위한 주파수는 약 0.1MHz 내지 약 80 MHz의 범위일 수 있다. 또한, 제어기(755)는 상부 전극(770)에 대한 RF 전력의 인가를 제어하기 위해 RF 발생기(772) 및 임피던스 매치 네트워크(732)에 결합된다. 상부 전극의 설계 및 구현은 당업자에게 잘 알려져 있다. 상부 전극(770) 및 가스 분배 시스템(740)은 도시된 바와 같이 동일한 챔버 어셈블리 내에서 설계될 수 있다. 대안적으로, 상부 전극(770)은 기판(725) 위의 플라즈마에 결합된 RF 전력 분포를 조정하기 위한 다중 영역 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(770)은 중심 전극과 에지 전극으로 분할될 수 있다.
응용 프로그램에 따라, 센서 또는 계측 디바이스들과 같은 부가적인 디바이스들이 처리 챔버(710) 및 제어기(755)에 결합되어 실시간 데이터를 수집하고 그러한 실시간 데이터를 사용하여 증착 프로세스, RIE 프로세스, 풀 프로세스, 패턴 개질 프로세스, 가열 처리 프로세스 및/또는 집적 방식의 패턴 전사 프로세스를 수반하는 2 이상의 단계들에서 2 개 이상의 선택된 적분 동작 변수들을 동시에 제어할 수 있다. 또한, 사후 열처리(post heat treatment, PHT) 완료, 패터닝 균일성(균일성), 패터닝된 구조물의 풀다운(풀다운), 패터닝된 구조물의 슬림화(슬림화), 패터닝된 구조물의 종횡비(종횡비), 에칭 선택비, 라인 에지 거칠기(line edge roughness, LER), 라인 폭 거칠기(line width roughness, LWR), 기판 처리량, 소유 비용 등을 포함한 집적 목표치를 보장하는데 사용될 수 있는 동일한 데이터가 달성된다.
다른 플라즈마 처리 시스템들이 사용될 수 있고, 이러한 시스템들에 대한 설명은 "10 nm 미만의 패터닝을 달성하기 위한 재료 처리"(Material processing to achieve sub-10nm patterning)"라는 발명의 명칭으로 2016년 9월 13일자로 발행된 미국 특허 제9,443,731호에서 찾을 수 있으며, 이 미국 특허의 내용들은 본 명세서에서 그 전체가 참고로 병합된다.
비록 본 발명의 특정 실시예들만을 위에서 설명하였지만, 당업자라면 본 발명의 신규한 기술적 요지 및 이점들로부터 실질적으로 벗어나지 않으면서 실시예들에서 많은 변형들이 가능하다는 점을 쉽게 이해할 수 있을 것이다. 실리콘 에칭 및 불소계 세정 프로세스 실시예들이 전술한 바와 같이 본 발명의 원리들, 특징들, 및 이점들을 설명하기 위해 사용되었지만, 본 발명은 거의 수직 또는 수직 모서리 프로파일을 필요로 하는 하나 이상의 교차부를 포함할 수 있는 다른 패터닝된 구조물 층들을 갖는 기판에 사용될 수 있다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되는 것으로 의도된다.

Claims (19)

  1. 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법에 있어서,
    처리 챔버 내에 기판을 배치하는 단계 - 상기 기판은 복수의 패터닝된 구조물들과 패턴을 갖고, 상기 기판은 하부층(underlying layer) 및 타겟층(target layer)을 포함하고, 상기 패터닝된 구조물들 중 적어도 하나는 상기 패터닝된 구조물들 중 다른 패터닝된 구조물과 교차하고, 각각의 교차부는 상기 하부층에서 적어도 하나의 모서리 및 교차각을 규정하며, 상기 모서리는 모서리 프로파일을 가짐 -;
    상기 기판 상의 상기 패터닝된 구조물들에 대해 브레이크스루 에칭(breakthrough etch) 프로세스와 하프 에칭(half-etch) 프로세스를 수행하는 단계 - 상기 브레이크스루 에칭 프로세스와 하프 에칭 프로세스는 모서리들에서 잔류물을 발생시킴 -;
    상기 타겟층 상에 상기 패턴을 전사시키고 각각의 교차부에서 타겟 수직 모서리 프로파일을 달성하기 위해 상기 기판에 대해 에칭 프로세스와 세정 프로세스를 교대로 그리고 순차적으로 수행하는 단계 - 상기 세정 프로세스는 플라즈마 라디칼(plasma radical)에 대한, 상기 적어도 하나의 모서리를 포함하는, 상기 패터닝된 구조물들의 아랫부분의 물리적 투명도를 증가시키도록 구성됨 -; 및
    타겟 집적 목적을 달성하기 위해 상기 교대적이고 순차적인 에칭 프로세스와 세정 프로세스에서 상기 집적 방식의 선택된 둘 이상의 동작 변수들을 제어하는 단계
    를 포함하는 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  2. 제1항에 있어서,
    상기 타겟 집적 목적은 상기 잔류물의 타겟 제거 퍼센티지(percentage)와 교차부들에서의 상기 타겟 수직 모서리 프로파일을 포함한 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  3. 제2항에 있어서,
    상기 잔류물의 타겟 제거 퍼센티지는 90% 내지 100%의 범위 내에 있는 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  4. 제1항에 있어서,
    상기 교대적이고 순차적인 에칭 프로세스는 할로겐 플라즈마를 사용한 에칭을 포함한 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  5. 제4항에 있어서,
    상기 할로겐 플라즈마는 브롬계 또는 염소계 플라즈마인 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  6. 제5항에 있어서,
    상기 브롬계 플라즈마는 비휘발성 부산물인 SiBrxOy(여기서, x와 y는 0이 아님)를 생성하거나; 또는 상기 염소계 플라즈마는 비휘발성 부산물인 SiClxOy(여기서, x와 y는 0이 아님)를 생성하는 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  7. 제1항에 있어서,
    상기 교대적이고 순차적인 에칭 프로세스는 1W 내지 3,000W의 범위의 소스 전력, 0W 내지 1,000W 범위의 바이어스 전력, 3mT 내지 500mT 범위의 압력, 1sccm 내지 5,000sccm 범위의 가스 유량을 포함하는 동작 변수들을 갖는 소프트 랜딩 에칭(soft landing etch) 프로세스와 오버에칭(overetch) 프로세스를 포함한 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  8. 제1항에 있어서,
    상기 교차각은 90°이거나 또는 상기 교차각은 1° 내지 179°의 범위 내에 있는 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  9. 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법에 있어서,
    처리 챔버 내에 기판을 배치하는 단계 - 상기 기판은 복수의 패터닝된 구조물들과 패턴을 갖고, 상기 기판은 하부층(underlying layer) 및 타겟층(target layer)을 포함하고, 상기 패터닝된 구조물들 중 적어도 하나는 상기 패터닝된 구조물들 중 다른 패터닝된 구조물과 교차하고, 각각의 교차부는 적어도 하나의 모서리 및 교차각을 가지며, 상기 모서리는 모서리 프로파일을 가짐 -;
    상기 기판 상의 상기 패터닝된 구조물들에 대해 브레이크스루 에칭(breakthrough etch) 프로세스와 하프 에칭(half-etch) 프로세스를 수행하는 단계 - 상기 브레이크스루 에칭 프로세스와 하프 에칭 프로세스는 모서리들에서 잔류물을 발생시킴 -;
    상기 타겟층 상에 상기 패턴을 전사시키고 각각의 교차부에서 타겟 수직 모서리 프로파일을 달성하기 위해 상기 기판에 대해 에칭 프로세스와 세정 프로세스를 교대로 그리고 순차적으로 수행하는 단계 - 상기 세정 프로세스는 플라즈마 라디칼(plasma radical)에 대한, 상기 패터닝된 구조물들의 아랫부분의 물리적 투명도를 증가시키도록 구성됨 -; 및
    타겟 집적 목적을 달성하기 위해 상기 교대적이고 순차적인 에칭 프로세스와 세정 프로세스에서 상기 집적 방식의 선택된 둘 이상의 동작 변수들을 제어하는 단계
    를 포함하고,
    상기 패터닝된 구조물들의 교차부들의 타겟 수직 모서리 프로파일들과 상기 교차부들의 모서리들에서의 잔류물 중 적어도 하나를 측정하도록 구성된 인 시츄(in-situ) 계측 디바이스들이, 상기 교대적이고 순차적인 에칭 프로세스와 세정 프로세스의 둘 이상의 동작 변수들에 대한 조정을 행하기 위해, 제어기에 전달되는 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  10. 제1항에 있어서,
    상기 기판 내의 상기 복수의 패터닝된 구조물들은 핀 형상 전계 효과 트랜지스터(fin-shaped field effect transistor; FINFET)를 포함한 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  11. 제1항에 있어서,
    상기 타겟층은 FINFET 디바이스의 핀 층인 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  12. 제1항에 있어서,
    둘 이상의 교차 구조물들이 존재하는 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  13. 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법에 있어서,
    처리 챔버 내에 기판을 배치하는 단계 - 상기 기판은 복수의 패터닝된 구조물들과 패턴을 갖고, 상기 기판은 하부층(underlying layer) 및 타겟층(target layer)을 포함하고, 상기 패터닝된 구조물들 중 적어도 하나는 상기 패터닝된 구조물들 중 다른 패터닝된 구조물과 교차하고, 각각의 교차부는 적어도 하나의 모서리 및 교차각을 가지며, 상기 모서리는 모서리 프로파일을 가짐 -;
    상기 기판 상의 상기 패터닝된 구조물들에 대해 브레이크스루 에칭(breakthrough etch) 프로세스와 하프 에칭(half-etch) 프로세스를 수행하는 단계 - 상기 브레이크스루 에칭 프로세스와 하프 에칭 프로세스는 모서리들에서 잔류물을 발생시킴 -;
    상기 타겟층 상에 상기 패턴을 전사시키고 각각의 교차부에서 타겟 수직 모서리 프로파일을 달성하기 위해 상기 기판에 대해 에칭 프로세스와 세정 프로세스를 교대로 그리고 순차적으로 수행하는 단계 - 상기 세정 프로세스는 플라즈마 라디칼(plasma radical)에 대한, 상기 패터닝된 구조물들의 아랫부분의 물리적 투명도를 증가시키도록 구성됨 -; 및
    타겟 집적 목적을 달성하기 위해 상기 교대적이고 순차적인 에칭 프로세스와 세정 프로세스에서 상기 집적 방식의 선택된 둘 이상의 동작 변수들을 제어하는 단계
    를 포함하고,
    둘 이상의 교차 구조물들이 존재하되, 상기 둘 이상의 교차 구조물들은 FINFET 디바이스의 두 개의 연속적인 라인 구조물들 및 세 개의 세그먼트화된 라인 구조물들을 포함한 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  14. 제13항에 있어서,
    상기 두 개의 연속적인 라인 구조물들은 밀폐된 공동(cavity)들을 갖는 게이트들이고, 상기 밀폐된 공동들 외부의 세 개의 세그먼트화된 라인 구조물들은 상기 FINFET 디바이스의 핀들인 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  15. 제1항에 있어서,
    상기 교대적이고 순차적인 에칭 프로세스와 세정 프로세스 동안 상기 잔류물이 제거되고, 상기 잔류물의 제거는, 상기 기판 내의 상기 패터닝된 구조물들의 각각의 교차부에서 필요로 하는 상기 타겟 수직 모서리 프로파일을 생성하기 위해 상기 타겟층의 모서리들에서의 투명도를 증가시키는 것인 패터닝 시스템에서 집적 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  16. 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법에 있어서,
    처리 챔버 내에 기판을 배치하는 단계 - 상기 기판은 하부층 및 타겟층을 포함하고, 적어도 하나의 구조물은 다른 구조물과 교차하고, 각각의 교차부는 상기 하부층에서 교차각 및 수직으로 연장된 모서리를 규정하며, 상기 기판은 각각의 교차부에서 수직 모서리 프로파일을 필요로 하는 패턴을 더 포함함 -;
    상기 기판 상의 구조물들에 대해 브레이크스루 에칭 프로세스와 하프 에칭 프로세스를 수행하는 단계 - 상기 브레이크스루 에칭 프로세스와 하프 에칭 프로세스는 모서리들에서 잔류물을 발생시킴 -;
    상기 기판에 대해 플라즈마 세정 프로세스를 수행하는 단계;
    상기 기판에 대해 소프트 랜딩 에칭 프로세스와 오버에칭 프로세스를 수행하는 단계;
    상기 수직 모서리 프로파일의 측정들을 행하고, 타겟 집적 목적이 충족되었는지를 결정하는 단계; 및
    타겟 집적 목적이 충족되지 않은 경우, 상기 플라즈마 세정 프로세스와 상기 소프트 랜딩 에칭 프로세스와 상기 오버에칭 프로세스의 하나 이상의 동작 변수를 조정하고, 상기 타겟 집적 목적이 충족될 때까지 상기 플라즈마 세정 프로세스와 상기 소프트 랜딩 에칭 프로세스와 상기 오버에칭 프로세스의 수행을 반복하는 단계
    를 포함하는 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  17. 제16항에 있어서,
    상기 타겟 집적 목적은 상기 잔류물의 타겟 제거 퍼센티지와 교차부들에서의 필요로 하는 수직 모서리 프로파일을 포함한 것인 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  18. 제17항에 있어서,
    상기 잔류물의 타겟 제거 퍼센티지는 90% 내지 100%의 범위 내에 있는 것인 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
  19. 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법에 있어서,
    처리 챔버 내에 기판을 배치하는 단계 - 상기 기판은 하부층 및 타겟층을 포함하고, 적어도 하나의 구조물은 다른 구조물과 교차하고, 각각의 교차부는 교차각 및 수직으로 연장된 모서리를 가지며, 상기 기판은 각각의 교차부에서 수직 모서리 프로파일을 필요로 하는 패턴을 더 포함함 -;
    상기 기판 상의 구조물들에 대해 브레이크스루 에칭 프로세스와 하프 에칭 프로세스를 수행하는 단계 - 상기 브레이크스루 에칭 프로세스와 하프 에칭 프로세스는 모서리들에서 잔류물을 발생시킴 -;
    상기 기판에 대해 플라즈마 세정 프로세스를 수행하는 단계;
    상기 기판에 대해 소프트 랜딩 에칭 프로세스와 오버에칭 프로세스를 수행하는 단계;
    상기 기판의 측정들을 행하고, 타겟 집적 목적이 충족되었는지를 결정하는 단계; 및
    타겟 집적 목적이 충족되지 않은 경우, 상기 플라즈마 세정 프로세스와 상기 소프트 랜딩 에칭 프로세스와 상기 오버에칭 프로세스의 하나 이상의 동작 변수를 조정하고, 상기 타겟 집적 목적이 충족될 때까지 상기 플라즈마 세정 프로세스와 상기 소프트 랜딩 에칭 프로세스와 상기 오버에칭 프로세스의 수행을 반복하는 단계
    를 포함하고,
    상기 구조물들의 교차부들의 수직 모서리 프로파일들과 상기 교차부들의 모서리들에서의 잔류물 중 적어도 하나를 측정하도록 구성된 인 시츄 계측 디바이스들이, 상기 플라즈마 세정 프로세스와 상기 소프트 랜딩 에칭 프로세스와 상기 오버에칭 프로세스의 하나 이상의 동작 변수에 대한 조정을 행하기 위해, 제어기에 전달되는 것인 패터닝 시스템에서 패터닝 방식을 사용하여 기판 상의 구조물들을 패터닝하는 방법.
KR1020197011172A 2016-09-21 2017-09-19 교차 구조물들을 패터닝하는 방법 KR102405202B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662397779P 2016-09-21 2016-09-21
US62/397,779 2016-09-21
PCT/US2017/052190 WO2018057493A1 (en) 2016-09-21 2017-09-19 Method of patterning intersecting structures

Publications (2)

Publication Number Publication Date
KR20190045379A KR20190045379A (ko) 2019-05-02
KR102405202B1 true KR102405202B1 (ko) 2022-06-02

Family

ID=61618068

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197011172A KR102405202B1 (ko) 2016-09-21 2017-09-19 교차 구조물들을 패터닝하는 방법

Country Status (4)

Country Link
US (1) US10204832B2 (ko)
KR (1) KR102405202B1 (ko)
TW (1) TWI743202B (ko)
WO (1) WO2018057493A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211107B1 (en) * 2017-09-10 2019-02-19 United Microelectronics Corp. Method of fabricating fins including removing dummy fins after fluorocarbon flush step and oxygen clean step
CN112133631B (zh) * 2020-09-25 2022-11-18 上海华力微电子有限公司 改善栅极刻蚀形貌稳定性的方法和刻蚀设备

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
KR100925054B1 (ko) * 2007-09-06 2009-11-03 주식회사 래디언테크 웨이퍼 식각 방법
KR101096186B1 (ko) 2010-04-30 2011-12-22 주식회사 하이닉스반도체 패턴의 무너짐을 방지하는 반도체장치 제조 방법
US8980418B2 (en) * 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2013131587A (ja) * 2011-12-21 2013-07-04 Hitachi High-Technologies Corp プラズマ処理方法
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
KR101851259B1 (ko) * 2013-11-05 2018-06-11 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9620417B2 (en) * 2014-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of manufacturing fin-FET devices
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning

Also Published As

Publication number Publication date
US10204832B2 (en) 2019-02-12
KR20190045379A (ko) 2019-05-02
TW201826380A (zh) 2018-07-16
WO2018057493A1 (en) 2018-03-29
TWI743202B (zh) 2021-10-21
US20180082903A1 (en) 2018-03-22

Similar Documents

Publication Publication Date Title
CN107431011B (zh) 用于原子层蚀刻的方法
TWI673791B (zh) 高深寬比結構中的接觸窗清洗
US9748110B2 (en) Method and system for selective spacer etch for multi-patterning schemes
CN102804933B (zh) 可切换中性束源
TW201703116A (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
US20130084707A1 (en) Dry cleaning method for recovering etch process condition
KR20140121786A (ko) 반도체 제조를 위한 내부 플라즈마 그리드 어플리케이션
JP2011071522A (ja) Dc/rfハイブリッド処理システム
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
TW201735155A (zh) 具有第一材料與第二材料之結構圖案層的蝕刻方法
KR102405202B1 (ko) 교차 구조물들을 패터닝하는 방법
US9245764B2 (en) Semiconductor device manufacturing method
US10260150B2 (en) Method and system for sculpting spacer sidewall mask
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
TWI635545B (zh) 以選擇的蝕刻劑氣體混合物與操作變數之調變修整無機光阻
KR20180034698A (ko) 실리콘 함유 기판의 에칭 방법
KR102223708B1 (ko) 유기 멘드렐 보호 공정
TWI681443B (zh) 整合架構之各種階段期間用於圖案化之修整方法
US20210050191A1 (en) Methods and systems for plasma processing tool matching after preventative maintenance
KR102471380B1 (ko) 인 시추 하드 마스크 제거 방법
US20190080926A1 (en) Methods of Surface Restoration for Nitride Etching
US10937664B2 (en) Surface modification process

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant