KR102328025B1 - 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법 - Google Patents

서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법 Download PDF

Info

Publication number
KR102328025B1
KR102328025B1 KR1020150127112A KR20150127112A KR102328025B1 KR 102328025 B1 KR102328025 B1 KR 102328025B1 KR 1020150127112 A KR1020150127112 A KR 1020150127112A KR 20150127112 A KR20150127112 A KR 20150127112A KR 102328025 B1 KR102328025 B1 KR 102328025B1
Authority
KR
South Korea
Prior art keywords
structures
substrate
plasma
layer
oxide
Prior art date
Application number
KR1020150127112A
Other languages
English (en)
Other versions
KR20160030378A (ko
Inventor
안젤리크 디 랄레이
아키테루 코
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160030378A publication Critical patent/KR20160030378A/ko
Application granted granted Critical
Publication of KR102328025B1 publication Critical patent/KR102328025B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

기법들은 맨드렐 또는 스페이서 또는 다른 구조체 주위에 미리 결정된 두께로 물질을 변형하기 위해 플라즈마 산화 처리를 포함한다. 이 플라즈마 산화에 이어 화학적 산화물 제거 처리가 이어진다. 구조체들의 일부만이 산화됨으로써, 또는 산화된 구조체들의 부분의 선택적 마스킹에 의해, 화학적 산화물 제거 처리는 특히 구조체들의 부분만을 축소시켜서, 패턴들을 하나 이상의 하부층들에 전사하기 위한 에칭 마스크들로서 기능할 수 있는, 상이한 임계 치수들을 갖는 구조체들을 산출한다. 이에 따라, 상이한 임계 치수들을 갖는 구조체들은 서브-해상도 스케일들로 제조될 수 있다.

Description

서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법{METHOD FOR PATTERNING DIFFERING CRITICAL DIMENSIONS AT SUB-RESOLUTION SCALES}
본 개시는 기판 프로세싱에 관한 것으로서, 보다 구체적으로는, 반도체 웨이퍼들을 포함하는 기판들을 패터닝하기 위한 기법들에 관한 것이다.
반도체 웨이퍼들 상에 구조체들을 제조하는 것은 통상적으로 리소그래픽 노출에 의해 형성되는 포토레지스트 막을 패터닝하는 것, 릴리프 패턴(relief pattern)을 생성하기 위해 다양한 화학적 디벨로퍼들(chemical developers)을 이용하여 포토레지스트 막을 현상하는 것, 그리고 그 후 에칭 프로세스를 이용하여 하나 이상의 하부(underlying) 층들로 레지스트 막에 의해 정의된 릴리프 패턴을 전사하는 것을 포함한다. 반도체 산업은 전자 디바이스들을 계속 진보시키고 있으며, 이는 웨이퍼 상의 구조체들 및 피처들이 연속적으로 축소(shrinking)하고 있다는 것을 의미한다. 리소그래픽 프로세스들에서 라인-폭들을 축소하는 방법들은 역사적으로, 더 큰-NA 광학(NA optics), 더 짧은 노출 파장들, 또는 공기 이외의 다른 계면 매체들(예를 들어, 수침)을 이용하는 것을 포함하였다. 종래의 리소그래픽 프로세스의 해상도가 이론적 한계들에 근접함에 따라, 제조자들은 광학 제한들을 극복하기 위해 더블-패터닝(double-patterning; DP) 방법으로 전환하기 시작하였다.
물질 프로세싱 방법들(예컨대, 포토리소그래피)에서, 패터닝된 층들을 생성하는 것은 기판의 상위 표면에 포토레지스트와 같은 방사선-민감성 물질의 박층의 도포를 포함한다. 이러한 방사선-민감성 물질은 기판 상에서 하부층으로 패턴을 전사하거나 에칭하는데 이용될 수 있는 패터닝된 마스크로 변환된다. 방사선-민감성 물질의 패터닝은 일반적으로, 예를 들어, 포토-리소그래피 시스템을 이용하여 방사선-민감성 물질 상으로 레티클(및 연관된 광학)을 통한 방사선 소스에 의한 노출을 포함한다. 이러한 노출에 이어서, 현상 용매(developing solvent)를 이용하여 (네거티브 레지스트의 경우에서와 같은) 비-조사된 영역들 또는 (포지티브 포토레지스트의 경우에서와 같은) 방사선-민감성 물질의 조사된 영역들의 제거가 이어질 수 있다. 이 마스크 층은 다수의 서브-층들을 포함할 수 있다.
기판 상에 방사선 또는 광의 패턴을 노출하기 위한 종래의 리소그래픽 기법들은 노출된 피처들의 크기를 제한하고 노출된 피처들 간의 피치 또는 간격을 제한하는 다양한 도전과제들을 갖는다.
반도체 기판들을 패터닝하는데 있어 하나의 도전과제는 상이한 임계 치수들을 갖는 구조체들을 동시에 제조하는 것이다. 이는 특히 서브-해상도 치수들(sub-resolution dimensions)의 경우에 그러하다. 주어진 설계 규격의 2개의 상이한 임계 치수들이 종래의 포토리소그래피의 제한들 내에 적절히 있는 상황들에서, 레티클 마스크는 상이한 치수들의 구조체들을 생성하는데 이용될 수 있다. 그러나 임계 치수들의 쌍에서 특정되는 적어도 하나의 임계 치수가 주어진 종래의 포토리소그래피 시스템의 패터닝 성능보다 작은 경우, 포토리소그래피 시스템은 기판을 정확히 패터닝하는데 이용될 수 없다. 종래의 리소그래피 시스템들의 광학 제한들을 넘은 패턴들에 대해, 더블 패터닝 기법들(double patterning techniques)이 이용되었다. 이러한 더블 패터닝 기법들은 측벽 이미지 전사, 자가-정렬 더블 패터닝 등을 포함할 수 있다.
더블 패터닝 기법들이 광학 제한들 아래로 임계 치수들을 축소시킬 수 있지만, 축소는 웨이퍼 전체에 걸쳐서 균일하다. 따라서, 이러한 더블 패터닝 기법들은 다수의 상이한 임계 치수들의 패터닝을 가능케 하진 않는다. 예를 들어, 더블 패터닝 기법들은 통상적으로 맨드렐(mandrel) 주위에 스페이서를 퇴적하고, 맨드렐을 제거하고, 그 후 스페이서에 의해 정의된 패턴을 하부층에 전사하는 것을 포함한다. 그러나 스페이서는 컨포멀한(conformal) 막으로서 초기에 퇴적된다. 컨포멀한 막들은 모든 표면들(수평 및 수직) 상에서 균일한 두께를 갖는데, 즉, 임계 치수는 모든 장소들에서 동일하게 된다. 이는, 주어진 디바이스 설계가 다수의 상이한 치수들을 갖도록 특정되는 경우, 이러한 상이한 치수들은 더블 패터닝 만으로 달성될 수 없다는 것을 의미한다. 비-제한적인 예로서, 주어진 디바이스 설계들은 높은 쓰루풋을 달성하도록 동시에(또는 대략적으로 동시에) 생성되어야 하는 게이트들 및/또는 핀(fin)들을 생성하는 것을 특정할 수 있다. 이에 따라, 상이한 임계 치수들을 갖는 구조체들의 패터닝은, 디바이스 설계들이 14nm, 10nm 및 그 미만의 핀들 및 게이트들을 특정할 때 특히 도전적이다. 하나의 종래의 기법은 노(furnace)를 이용하여 산화물 스페이서들을 퇴적하는 것을 포함하지만, 이러한 기법은 임계 치수를 증가시켜 구조체들의 하나의 그룹을 좁게 하는 대신, 더 두꺼운 스페이서를 형성한다. 또한, 노 산화는 비교적 느리며 균일하고 정확하게 제어하기 어렵다.
본 명세서에서의 기법들은 맨드렐 또는 스페이서 또는 다른 구조체 주위에 미리 결정된 두께로 물질을 변형하기 위해 플라즈마 산화 처리를 이용한다. 이 플라즈마 산화 처리에 이어 화학적 산화물 제거 처리가 이어진다. 구조체들의 일부만이 산화됨으로써(또는 산화된 구조체들의 부분만을 덮음으로써), 화학적 산화물 제거 처리는 본질적으로 구조체들의 부분만을 축소시켜서, 상이한 임계 치수들을 갖는 구조체들을 산출한다. 상이한 크기들의 이들 구조체들 또는 라인들은 이어서 패턴들을 하나 이상의 하부층들에 전사하기 위한 에칭 마스크들로서 기능할 수 있다. 이에 따라, 상이한 임계 치수들을 갖는 구조체들은 서브-해상도 스케일들로 제조될 수 있다.
하나의 예시적인 실시예는 반도체 기판을 프로세싱하기 위한 방법을 포함한다. 이 방법은 플라즈마 프로세싱 챔버에서 기판 홀더 상에 기판을 배치하는 것을 포함한다. 기판은 하부층상에 배치되는 복수의 구조체들을 갖는다. 복수의 구조체들은 실리콘 및/또는 실리콘 질화물 중 어느 하나로 구성되며, 각각의 구조체는 초기 임계 치수를 갖는다. 기판은 이어서, 구조체들의 노출된 표면이 미리 결정된 두께로 구조체들에서 산화되어 산화물의 층을 발생시키도록 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마에 노출된다. 복수의 구조체들로부터, 구조체들의 제 1 부분이 보호 마스크로 마스킹되어, 복수의 구조체들로부터 구조체들의 제 2 부분은 보호 마스크가 없게 된다. 산화물의 층은 이어서 구조체들의 제 2 부분이 초기 임계 치수 미만의 결과적인 임계 치수를 갖게 하는 화학적 산화물 제거 프로세스를 이용하여 구조체들의 제 2 부분에서 구조체들로부터 제거된다. 다른 실시예들에서, 마스킹은 이어서 구조체들의 부분만이 산화물의 층을 갖도록 산화되게 하기 위해 플라즈마 산화 이전에 실행될 수 있다. 이 산화물 층은 이어서 마스크의 제어 이전에 또는 이후에 화학적 산화물 제거에 의해 제거될 수 있다.
물론, 본 명세서에서 설명된 바와 같은 상이한 단계들의 논의의 순서는 명확성을 위해 제시되었다. 일반적으로, 이들 단계들은 임의의 적합한 순서로 수행될 수 있다. 부가적으로, 본 명세서에서의 상이한 피처들, 기법들, 구성들 등 각각이 본 개시의 상이한 장소들에서 논의될지라도, 개념들 각각은 서로 독립적으로 또는 서로 결합하여 실행될 수 있다는 것이 의도된다. 이에 따라, 본 발명은 다수의 상이한 방식들로 실현되고 고려될 수 있다.
이 요약부는 본 개시 또는 청구된 발명의 각각의 모든 실시예 및/또는 더욱 신규한 양상을 특정하진 않는다는 것에 주의한다. 대신, 이 요약은 단지 종래 기법들보다 신규성이 있는 상이한 실시예들 및 대응하는 지점들의 사전 논의를 제공한다. 본 발명 및 실시예들의 부가적인 세부사항들 및/또는 가능한 관점들에 대해, 독자는 아래에서 추가로 논의되는 바와 같이 본 개시의 상세한 설명부 및 대응하는 도면들을 참조한다.
본 발명의 다양한 실시예들의 보다 완전한 인지 및 본 발명의 수반되는 이점들 대부분은 첨부 도면들과 함께 고려되는 다음의 상세한 설명을 참조하여 쉽게 자명하게 될 것이다. 도면들은 반드시 제 축적에 맞는 것은 아니며, 대신, 피처들, 원리들 및 개념들을 예시하는데 있어 강조가 된다.
도 1은 본 명세서에서 개시된 기법들에 따라 기판을 패터닝하기 위한 방법의 흐름도이다.
도 2a 내지 도 2h는 본 명세서에서 개시된 바와 같은 패터닝 방법들의 스테이지들을 도시하는 단면 세그먼트들의 개략도들이다.
도 3a 내지 도 3h는 본 명세서에서 개시된 바와 같은 패터닝 방법들의 스테이지들을 도시하는 단면 세그먼트들의 개략도들이다.
도 4a 내지 도 4i는 본 명세서에서 개시된 바와 같은 패터닝 방법들의 스테이지들을 도시하는 단면 세그먼트들의 개략도들이다.
도 5는 본 명세서의 실시예들에 따라 플라즈마 프로세싱 시스템의 개략도이다.
본 명세서에서의 기법들은 맨드렐(mandrel) 또는 스페이서 또는 다른 구조체(영구적 또는 희생적) 주위에서 미리 결정된 두께로 물질을 변형하기 위해 플라즈마 산화 처리를 이용한다. 이 플라즈마 산화에 이어서 화학적 산화물 제거 처리(가스, 플라즈마-프리 에칭)가 이어진다. 구조체들의 부분만이 산화됨으로써(또는 산화되는 구조체들의 부분을 덮음함으로써), 화학적 산화물 제거 처리는 본질적으로 구조체들의 부분만을 축소시켜서, 하나 이상의 하부층들로 패턴들을 전사하기 위한 에칭 마스크들로서 기능할 수 있는, 상이한 임계 치수들을 갖는 구조체들을 산출한다. 이에 따라, 상이한 임계 치수들을 갖는 구조체들은, 서브-해상도 스케일들로, 즉 종래의 포토리소그래피 시스템들이 인쇄할 수 있는 것보다 더 작은 치수들로 제조될 수 있다.
이제 도 1 및 도 2a 내지 도 2g를 참조하면, 기판들을 패터닝하기 위한 예시적인 방법이 일반적으로 도시된다. 단계(110)에서, 기판은 플라즈마 프로세싱 챔버 내의 기판 홀더 상에 배치된다. 플라즈마 프로세싱 챔버들은 일반적으로 알려져 있고, 예시적인 플라즈마 프로세싱 챔버가 아래에서 설명될 것이다. 기판은 하부층 상에 배치되는 복수의 구조체들을 갖는다. 도 2a는 기판(205)의 예시적인 단면 세그먼트를 도시한다. 기판(205)은 하부층(215) 상에 배치되는 구조체들(220)을 도시한다. 또한 제 2 하부층(210)이 도시된다. 하부층들 각각은 하나 이상의 층들로 구성될 수 있다는 것에 주의한다. 하부층(215)의 일부 부분들이 덮히는 반면에, 하부층(215)의 다른 부분들이 덮히지 않은 채로 남아있게 되도록 릴리프 패턴 또는 지형적 패턴을 정의하는 구조체들(220)이 도시된다. 비-제한적인 예로서, 구조체들(220)은 핀(fin) 및/또는 게이트 구조체들을 에칭하기 위한 패턴을 정의할 수 있다. 기판(205)은 예를 들어, 반도체 기판 또는 웨이퍼일 수 있다. 복수의 구조체들은 실리콘(Si) 및/또는 실리콘 질화물(SiN)로 구성되며, 각각의 구조체는 초기 임계 치수를 갖는다. 산화물의 층을 형성함으로써 산소 라디컬들 또는 이온들에 반응하는 다른 물질들이 선택될 수 있다.
단계(120)에서, 기판(205)은 도 2b에서 도시된 바와 같이 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마(285)에 노출된다. 이 예에서, 주어진 플라즈마 프로세싱 시스템의 상위 전극(280)이 예시된다. 기판(205)은 플라즈마(285)에 노출되어서, 구조체들의 노출된 표면들은 미리 결정된 두께로 구조체들(220)에서 산화되어 산화물의 층(250)이 된다. 이러한 플라즈마 산화 기법의 예시적인 결과는 도 2c에서 도시된다. 산화물(250)의 층은 구조체들(220)에서 본질적으로 성장되며, 이는 주어진 구조체가 주어진 임계 치수 또는 폭을 증가시키는 산화물 퇴적들과 대조적일 수 있다는 것에 주의한다. 본 명세서에서 개시된 바와 같은 플라즈마 산화 프로세스에 있어서, 일부 사소하고 경미한 구조체 폭의 증가가 있을 수 있는데, 이러한 증가는 일반적으로 후속 제조 프로세스들에 대해 대단치 않다. 산화물 층(250)은 본질적으로 미리 결정된 깊이, 거리, 또는 두께로 구조체들(220)에서 성장한다. 즉, 노출된 실리콘 또는 실리콘 질화물 표면들의 외부 부분은 산화물 물질로 변환되거나 변형된다. 산화물 층(250)은 수평 및 수직 표면들 상에서 또한 균등하게 형성될 수 있다는 것에 주의한다. 이는 일반적으로 등방성 산소 플라즈마 처리를 이용함으로써 부분적으로 달성될 수 있다. 즉, 실리콘 또는 실리콘 질화물 물질들은 플라즈마 산화 프로세스를 이용하여 산화물 또는 산화물-유사 물질이 되도록 변형된다. 기판을 산소-함유 플라즈마에 노출시키는 것은, 플라즈마로부터의 생성물들이 복수의 구조체들의 노출된 표면들을 화학적으로 변형하도록 산소-함유 가스의 흐름으로부터 플라즈마 프로세싱 챔버에서 플라즈마를 유지하는 것을 포함할 수 있다. 이 예시적인 실시예에서, 산소-함유 가스는 실질적으로 O2이다. 이용될 수 있는 다른 산소-함유 가스들은 CO 및 CO2이다. 주어진 애플리케이션 및 프로세싱 파라미터들에 의존하여, CO 또는 CO2를 이용하는 것은 특정한 물질 방식에 대해 바람직하지 않을 수 있는 일부 탄소 퇴적을 잠재적으로 초래할 수 있으며, 이 경우에, 순수 산소를 이용하는 것이 바람직할 수 있다. 캐리어 가스(carrier gas)가 또한 He 또는 Ar과 같은 산화 가스와 함께 이용될 수 있다.
산화물의 층(250)이 형성되는 미리 결정된 깊이는 하나 이상의 플라즈마 프로세싱 파라미터들을 제어함으로써 제어될 수 있다. 이러한 파라미터들은 예를 들어, 플라즈마 노출의 지속기간, 산소 유량, 압력, 온도, 라디오 주파수 전력 등을 포함할 수 있다. 예를 들어, 비교적 낮은 챔버 압력을 갖는 것은 플라즈마 산화 레이트들을 증가시킬 수 있다. 마찬가지로, 비교적 더 높은 온도는 또한 플라즈마 산화 레이트들을 증가시킬 수 있다. 선택된 플라즈마 프로세싱 시스템의 타입은 또한 산화물 층 성장 레이트들에 영향을 줄 수 있다. 예를 들어, 슬롯 안테나(slotted antenna) 및 마이크로파 전력을 이용하여 생성되는 표면 파 플라즈마(surface wave plasma)를 이용하여 생성되는 플라즈마는 다른 플라즈마 생성 시스템들로부터의 플라즈마에 비해 더 조밀한 플라즈마를 생성할 수 있다. 플라즈마 밀도의 증가는 더 빠른 산화 레이트들에 기여할 수 있다.
단계(130)에서, 복수의 구조체들 중 구조체들의 제 1 부분이 보호 마스크로 마스킹되어서, 복수의 구조체들로부터 구조체들의 제 2 부분은 보호 마스크가 없게 된다. 즉, 구조체들의 부분이 마스크로 덮히게(둘러싸이게) 하는 마스킹 프로세스가 실행된다. 구조체들의 부분들을 마스킹하는 것은 종래의 리소그래픽 마스킹 및 현상 기법들을 포함할 수 있다. 몇몇 실시예들에서, 마스킹은 박막으로 전체 기판을 덮는 것, 기판을 리소그래픽 패턴에 노출하는 것 그리고 그 후 구조체들의 원하는 부분이 마스크에 의해 덮히지 않도록 박막의 부분들을 제거/현상하는 것을 포함할 수 있다. 도 2d는 구조체들의 제 1 부분을 마스킹하는 예시적인 결과를 도시한다. 마스크(260)는 구조체들(220B 및 220C)을 덮는 반면에, 구조체들(220A)은 이 예시적인 세그먼트에서 덮히지 않거나 노출된다는 것에 주의한다.
단계(140)에서, 산화물의 층(250)은, 초기 임계 치수 미만인 결과적인 임계 치수를 갖는 구조체들의 제 2 부분을 발생시키는 화학적 산화물 제거 프로세스를 이용하여 구조체들의 제 2 부분에서 구조체들로부터 제거된다. 예시적인 결과가 도 2e에서 도시된다. 산화물 층(250)은 구조체(220A)로부터 제거된다는 것에 주의한다. 결과적으로, 구조체(220A)는 도 2a로부터의 구조체들(220)의 임계 치수 미만인 임계 치수 또는 폭을 갖는다. 화학적 산화물 제거 기법들 및 시스템들이 알려져 있다. 이러한 기법들은 일반적으로 질화물, 포토레지스트들 및 실리콘 물질들과 같은 다른 물질들에 대해 매우 높은 선택도로 산화물 및 산화물-유사 물질을 제거하는 가스, 플라즈마-프리 및 데미지-프리 에칭을 이용하는 것을 포함한다. 종래에 이용 가능한 다양한 기판 세정 툴들이 이러한 화학적 산화물 제거를 위해 이용될 수 있다. 예시적인 화학적 산화물 제거는 대략 2:1의 비로 HF(플루오르화 수소) 및 NH3(암모니아)의 혼합물을 이용하며, 고체 암모늄 베사플루오로실리케이트(solid ammonium bexafluorosilicate)를 형성하도록 25C로 15mTorr 미만의 압력에서 반응이 수행되고, 이어서 100C 초과의 온도에서 증발이 이어진다. 이러한 화학적 산화물 제거는 정밀한 손질을 가능케 한다. 따라서, 포토레지스트 물질로 구성된 마스크(260)에 의해, 이러한 마스크는 마스크에 의해 덮히는 구조체들로부터 산화물 층(250)의 화학적 산화물 제거를 방지할 것이다. 몇몇 실시예들에서, 하부층(215)이 또한 산화물 물질일 수 있다. 이러한 하부층이 산화물인 경우, 그 층의 일부 제거가 있을 수 있지만, 제거가 수직 방향에서 이루어지기 때문에 하부층로부터의 제거량은 비교적 장고 통상적으로 경미하며, 이에 따라 라인 폭들에 영향을 미치지 않는다. 또한, 산화되고 제거되는 하부층(215)의 부분들은 종종 후속 제조 단계들에서 에칭되는 부분들이다.
단계(150)에서, 구조체들의 제 1 부분을 마스킹하는 마스킹 물질이 제거된다. 제거는 애싱 프로세스(ashing process)와 같은 다양한 기법들을 이용하여 달성될 수 있다. 도 2f는 마스크(260)의 제거 이후에 예시적인 기판 세그먼트의 결과를 도시한다. 이 결과는 구조체들이 상이한 임계 치수들을 갖는 마스크 패턴을 정의한다는 것이라는 점에 주의한다. 예를 들어, 산화물 층(250)이 제거된 구조체들은 산화물 층(250)을 여전히 보유하거나, 또는 플라즈마 산화 동안 산화되지 않는 구조체들에 비해 더 작은 임계 치수 또는 폭을 가질 수 있다. 단계(160)에서, 복수의 구조체들(220)에 의해 정의되는 패턴은 반응성 이온 에칭 프로세스와 같은 하나 이상의 에칭 프로세스들을 통해 하부층(215)에 전사될 수 있다. 도 2g는 패턴 전사 이후 예시적인 결과를 도시한다. 도 2h는 구조체들(220)이 기판(205)으로부터 제거된 이후 하부층(215)에 의해 정의되는 패턴을 도시한다. 관찰될 수 있는 바와 같이, 패턴을 전사하는 것은 상이한 임계 치수들을 갖는 구조체들(예컨대, 게이트 구조체들)의 정의를 발생시킨다.
도 3a 내지 도 3h는 본 명세서의 기법들의 대안적인 실시예를 예시한다. 도 3a는 도 2a와 유사하다. 하부층(215) 상에 배치되는 복수의 구조체들(220)을 갖는 기판이 제공되거나 수용된다. 복수의 구조체들(220)은 실리콘 또는 실리콘 질화물 또는 플라즈마 산소 환경에서 산화물의 층을 생성할 수 있는 다른 물질로 구성된다. 각각의 구조체는 초기 임계 치수를 갖는다. 본 명세서에서 실시예들을 설명하는데 있어 편의를 위해, 복수의 구조체들(220)내의 구조체들은 동일한 초기 임계 치수를 갖는 것으로 고려될 수 있다.
도 3b에서, 복수의 구조체들(220)로부터 구조체들의 제 1 부분은 보호 마스크로 마스킹되어서, 복수의 구조체들로부터의 구조체들의 제 2 부분은 보호 마스크가 없게 된다. 마스크(260)는 구조체들(220B 및 220C)을 덮는 반면에, 구조체(220A)는 덮히지 않은 채로 또는 마스킹되지 않은 채로 남아있게 된다는 것에 주의한다. 이러한 마스킹이 종래의 마스킹 기법들을 이용하여 실행되는 경우, 구조체(220A)는 임시로 덮히고 이어서 마스킹 프로세스 동안 덮히지 않을 수 있다는 것에 주의한다. 이러한 마스킹은 임의의 플라즈마 산화 처리 이전에 발생할 수 있다.
구조체들의 부분이 마스킹된 이후, 기판은 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마에 노출되어서, 구조체들의 제 2 부분으로부터 구조체들의 노출된 표면들은 구조체들의 제 2 부분으로부터의 구조체들에서 미리 결정된 두께 또는 깊이로 산화되어 구조체들의 제 2 부분 상의 산화물 층이 된다. 도 3d는 구조체(220A)가 이제 산화물 층(250)을 갖는다는 것을 도시한다. 구조체들(220B 및 220C)은, 구조체들이 플라즈마 산화 프로세스 동안 보호되기 때문에 산화물 층을 갖지 않는다. 마스크(260)는 이어서 기판(205)으로부터 제거될 수 있다. 도 3e는 나머지 부분(220B 및 220C)이 변형되지 않은 채로 남아있으면서 산화물의 층(250)을 갖는 구조체들(220A)의 부분의 결과를 도시한다.
산화물의 층(250)은 이제 구조체들의 제 2 부분이 초기 임계 치수 미만의 결과적인 임계 치수를 갖게 하는 화학적 산화물 제거(chemical oxide removal; COR) 프로세스를 이용하여 구조체들의 제 2 부분에서 구조체들로부터 제거될 수 있다. 예시적인 결과가 도 3f에서 예시된다. (다양한 임계 치수를 갖는) 구조체들(220)에 의해 이제 정의된 결과적인 패턴은 하부층(215)에 이제 전사될 수 있고(도 3g) 그 후 구조체들(220)은 기판(205)으로부터 제거될 수 있다(도 3h). 이 예시적인 패터닝 시퀀스에서, 마스크(260)는 화학적 산화물 제거 이전이지만, 구조체들의 제 2 부분이 산화된 후에 제거되었다는 것에 주의한다. 대안적으로, 마스크(260)는 구조체들의 제 2 부분에서 구조체들로부터 산화물의 층을 제거한 후에 제거될 수 있다. 특정한 실시예에서, 구조체들의 부분을 산화시키는 동일한 플라즈마 프로세싱 시스템이 예컨대, 애싱 프로세스를 이용함으로써, 마스크(260)를 제거하는데 또한 이용될 수 있기 때문에, 화학적 산화물 제거 이전에 마스크(260)를 제거하는 것이 유리할 수 있다.
도 4a 내지 도 3h 및 도 4j는 본 명세서의 기법들의 대안적인 실시예를 예시한다. 기판은 플라즈마 프로세싱 챔버에서 기판 홀더 상에 배치된다. 기판은 하부층(215) 상에 배치되는 복수의 구조체들(220)을 갖는다. 복수의 구조체들은 맨드렐 상에 형성되는 실리콘 질화물 측벽 스페이서들(235)을 포함하며, 각각의 구조체는 초기 임계 치수를 갖는다. 도 4b에서 도시된 바와 같은 실리콘 질화물 측벽 스페이서들(235)은 도 4a에서 도시된 바와 같은 컨포멀한 실리콘 질화물 막(230)을 등방성으로 에칭함으로써 생성될 수 있다.
기판은 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마에 노출되어서, 실리콘 질화물 측벽 스페이서들(235)의 노출된 표면들은 실리콘 질화물 측벽 스페이서들에서 미리 결정된 두께로 산화되게 되며, 이는 도 4c에서 도시된 바와 같은 산화물의 층(250)을 발생시킨다. 복수의 구조체들 중 구조체들의 제 1 부분은 도 4d에서 도시된 바와 같은 보호 마스크(260)로 마스킹된다. 적소의 마스크(260)를 통해, 산화물의 층(250)은 화학적 산화물 제거 프로세스를 이용하여 구조체들의 제 2 부분에서 실리콘 질화물 측벽 스페이서들로부터 제거된다(도 4e). 이 화학적 산화물 제거 프로세스는 구조체들의 제 2 부분이 초기 임계 치수 미만인 결과적인 임계 치수를 갖게 한다. 즉, 실리콘 질화물 측벽 스페이서들의 부분은 도 4f에서 도시된 바와 같이 좁아진다. 도 4f는 또한 마스크(260)가 제거되어 있는 것을 도시한다. 몇몇 실시예들에서, 복수의 구조체들(220)(맨드렐들로서 기능함)이 제거될 수 있다(도 4g). 실리콘 질화물 측벽 스페이서들에 의해 정의된 패턴은 하부층(215)(도 4h)으로 전사될 수 있다. 몇몇 스페이서들은 실리콘 질화물 및 산화물의 층 둘 다로 구성되는 초기 임계 치수를 보유할 수 있는 반면에, 다른 실리콘 질화물 스페이서들은 산화물의 층 없는, 비교적 더 작은 임계 치수를 갖는다. 패턴을 전사한 이후, 임의의 나머지 스페이서 또는 산화물 물질은 상이한 치수들을 갖는 하부층(215) 내의 구조체들을 산출하도록 제거될 수 있다(도 4j).
제어 가능한 플라즈마 프로세싱 파라미터들에 의존하여, 산화물 층(250)의 두께는 약 1nm 내지 몇 나노미터들 또는 그 초과까지 변동될 수 있다. 예시적인 실시예들 중 임의의 실시예에서, 플라즈마 산화, 부분적 마스킹 및 화학적 산화물 제거는 정의된 패턴을 하부층에 전사하기 이전에 주어진 기판 상에 2번 이상 반복될 수 있다는 것에 주의한다. 예를 들어, 주어진 플라즈마 프로세스 시스템이 기판의 3nm를 산화할 수 있지만, 표면 당 6nm가 제거될 필요가 있는 경우, 2번의 플라즈마 산화 및 화학적 산화물 제거 사이클들이 실행될 수 있다.
다양한 상이한 종래의 플라즈마 프로세싱 시스템들은 산화, 퇴적 및 에칭의 프로세스 단계들을 위해 이용될 수 있다. 위에서 설명된 다양한 실시예들에 따라 플라즈마 산화 프로세스 및 에칭 전사 프로세스 시퀀스를 수행하기 위한 방법들 중 하나 이상은 다양한 종래의 플라즈마 프로세싱 시스템들 중 임의의 하나에서 수행될 수 있다. 도 5는 하나의 예시적인 플라즈마 프로세싱 시스템(500)을 예시한다. 플라즈마 프로세싱 시스템(500)은 위에서-식별된 프로세스 상태를 수행하도록 구성되고, 플라즈마 프로세싱 챔버(510), 프로세싱될 기판(525)이 부착되는 기판 홀더(520), 및 진공 펌핑 시스템(550)을 포함한다. 기판(525)은 반도체 기판, 웨이퍼, 평판 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 프로세싱 챔버(510)는 기판(525)의 표면 부근의 플라즈마 프로세싱 영역(545)에서 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화 가능한 가스 또는 프로세스 가스들의 혼합물이 가스 분배 시스템(540)을 통해 도입된다. 프로세스 가스의 주어진 흐름을 위해, 프로세스 압력은 진공 펌핑 시스템(550)을 이용하여 조정된다. 플라즈마는 미리-결정된 물질 프로세스 특유의 물질들을 생성하고 그리고/또는 기판(525)의 노출된 표면으로부터 물질의 제거를 돕기 위해 활용될 수 있다. 플라즈마 프로세싱 시스템(500)은 200mm 기판들, 300mm 기판들, 450mm 또는 그 초과와 같이 임의의 원하는 크기의 기판들을 프로세싱하도록 구성될 수 있다.
기판(525)은 전기 클램핑 시스템(예를 들어, 정전기 클램핑 시스템) 또는 기계적 클램핑 시스템과 같은 클램핑 시스템(528)을 통해 기판 홀더(520)에 부착될 수 있다. 또한, 기판 홀더(520)는 기판 홀더(520) 및 기판(525)의 온도를 조정 및/또는 제어하도록 구성되는 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은, 기판 홀더(520)로부터 열을 수용하고, 냉각할 때 열 교환기 시스템(도시되지 않음)에 열을 전달하거나, 가열할 때 열 교환기 시스템(도시되지 않음)으로부터 기판 홀더(520)에 열을 전달하는 열 전달 유체의 재-순환 흐름을 포함할 수 있다. 다른 실시예들에서, 저항성 가열 엘리먼트들 또는 열-전기 가열기/냉각기들과 같은 가열/냉각 엘리먼트들은 기판 홀더(520)는 물론, 플라즈마 프로세싱 챔버(510)의 챔버 벽 및 플라즈마 프로세싱 시스템(500) 내의 임의의 다른 컴포넌트에 포함될 수 있다.
부가적으로, 열 전달 가스는 기판(525)과 기판 홀더(520) 간의 가스-갭 열 컨덕턴스(gas-gap thermal conductance)를 개선하기 위해 배면측 가스 공급 시스템(526)을 통해 기판(525)의 배면측으로 전달될 수 있다. 이러한 시스템은 기판의 열 제어가 상승된 또는 감소된 온도들로 요구될 때 활용될 수 있다. 예를 들어, 배면측 가스 공급 시스템은 2-구역 가스 분배 시스템을 포함할 수 있으며, 여기서 헬륨 가스-갭 압력은 기판(525)의 에지와 중앙 간에 독립적으로 변동될 수 있다.
기판 홀더(520)는 RF 전력이 플라즈마 프로세싱 영역(545)에서 프로세싱 플라즈마에 커플링되는 전극(522)을 포함할 수 있다. 예를 들어, 기판 홀더(520)는 선택적인 임피던스 매칭 네트워크(532)를 통해 RF 생성기(530)로부터 기판 홀더(520)로의 RF 전력의 전송을 통해 RF 전압으로 전기적으로 바이어싱될 수 있다. RF 전기 바이어스는 플라즈마를 형성하고 유지하기 위한 열 전자들로서 역할을 할 수 있다. 이 구성에서, 시스템은 반응성 이온 에칭(reactive ion etch; RIE) 리액터로서 동작할 수 있으며, 여기서 챔버 및 상위 가스 주입 전극은 접지 표면으로서 역할을 한다. RF 바이어스를 위한 통상적인 주파수는 약 0.1MHz 내지 약 100MHz의 범위에 있을 수 있다. 플라즈마 프로세싱을 위한 RF 시스템은 당업자들에게 알려져 있다.
또한, RF 전압에서 전극(522)의 전기적 바이어스는 펄싱된 바이어스 신호 제어기(531)를 이용하여 펄싱될 수 있다. RF 생성기(530)로부터 출력된 RF 전력은 예를 들어, 오프-상태 및 온-상태 사이에서 펄싱될 수 있다. 대안적으로, RF 전력은 다수의 주파수들로 기판 홀더 전극에 인가된다. 또한, 임피던스 매칭 네트워크(532)는 반사된 전력을 감소시킴으로써 플라즈마 프로세싱 챔버(510)에서 플라즈마로의 RF 전력의 전달을 개선할 수 있다. 매칭 네트워크 토폴로지들 및 자동 제어 방법들이 당업자들에게 알려져 있다.
가스 분배 시스템(540)은 프로세스 가스들의 혼합물을 도입하기 위한 샤워헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(540)은 기판(525) 위에 프로세스 가스들의 혼합물을 도입하고 프로세스 가스들의 혼합물의 분배를 조정하기 위한 다중-구역 샤워헤드 설계를 포함할 수 있다. 예를 들어, 다중-구역 샤워헤드 설계는 기판(525) 위의 실질적으로 중앙 영역에 대한 프로세스 가스 흐름 또는 조성의 양에 상대적으로 기판(525) 위의 실질적으로 주변 영역에 대한 프로세스 가스 흐름 또는 조성을 조정하도록 구성될 수 있다.
진공 펌핑 시스템(550)은 초 당 약 5000리터(및 그 초과)까지 펌핑 가속이 가능한 터보-분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 조절(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭을 위해 활용되는 종래의 플라즈마 프로세싱 디바이스들에서, 초 당 1000 내지 3000리터 TMP가 이용될 수 있다. TMP들은 통상적으로 약 50mTorr 미만의 낮은 압력 프로세싱에 유용하다. 높은 압력 프로세싱(즉, 약 100mTorr 초과)을 위해, 기계적 부스터 펌프 및 건식 러핑 펌프(dry roughing pump)가 이용될 수 있다. 또한, 챔버 압력(도시되지 않음)을 모니터링하기 위한 디바이스는 플라즈마 프로세싱 챔버(510)에 커플링될 수 있다.
제어기(555)는 마이크로프로세서, 메모리 및 플라즈마 프로세싱 시스템(500)에 입력들을 전달 및 활성화시키고 플라즈마 프로세싱 시스템(500)으로부터의 출력들을 모니터링하기에 충분한 제어 전압들을 생성할 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(555)는 RF 생성기(530), 펄싱된 바이어스 신호 제어기(531), 임피던스 매칭 네트워크(532), 가스 분배 시스템(540), 진공 펌핑 시스템(550)은 물론, 기판 가열/냉각 시스템(도시되지 않음), 배면측 가스 공급 시스템(526) 및/또는 정전기 클램핑 시스템(528)에 커플링될 수 있으며 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 기판(525) 상에서 플라즈마 에칭 프로세스와 같은 플라즈마 보조 프로세스를 수행하기 위해 프로세스 레시피에 따라 플라즈마 프로세싱 시스템(500)의 상술된 컴포넌트들로의 입력들을 활성화시키도록 활용될 수 있다.
제어기(555)는 플라즈마 프로세싱 시스템(500)에 대해 국부적으로 위치될 수 있거나, 또는 제어기(555)는 플라즈마 프로세싱 시스템(500)에 대해 원격으로 위치될 수 있다. 예를 들어, 제어기(555)는 직접 연결, 인트라넷, 및/또는 인터넷을 이용하여 플라즈마 프로세싱 시스템(500)과 데이터를 교환할 수 있다. 제어기(555)는 예를 들어, 고객 사이트(즉, 디바이스 메이커 등)의 인트라넷에 커플링될 수 있거나, 또는 제어기(555)는 예를 들어, 벤더 사이트(즉, 장비 제조자)의 인트라넷에 커플링될 수 있다. 대안적으로 또는 부가적으로, 제어기(555)는 인터넷에 커플링될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 직접 연결, 인트라넷 및/또는 인터넷을 통해 데이터를 교환하도록 제어기(555)에 액세스할 수 있다.
플라즈마 프로세싱 시스템(500)은 플라즈마 밀도를 잠재적으로 증가시키고 그리고/또는 플라즈마 프로세싱 균일도를 개선하기 위해, 고정식의 기계적으로 또는 전기적으로 회전하는 자기장 시스템(도시되지 않음)을 더 포함할 수 있다. 또한 제어기(555)는 회전의 속도 및 자기장 세기(field strength)를 레귤레이팅하기 위해 자기장 시스템에 커플링될 수 있다. 회전하는 자기장의 설계 및 구현은 당업자들에게 잘 알려져 있다.
플라즈마 프로세싱 시스템(500)은 RF 전력이 선택적인 임피던스 매칭 네트워크(574)를 통해 RF 생성기(572)에 커플링될 수 있는 상위 전극(570)을 더 포함할 수 있다. 상위 전극으로의 RF 전력의 인가를 위한 주파수는 약 0.1 MHz 내지 약 200MHz 범위에 있을 수 있다. 부가적으로, 하위 전극으로의 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 100MHz 범위에 있을 수 있다. 또한, 제어기(555)는 RF 생성기(572) 및 상위 전극(570)으로의 RF 전력의 인가를 제어하기 위해 임피던스 매칭 네트워크(574)에 커플링된다. 상위 전극의 설계 및 구현은 당업자들에게 알려져 있다. 상위 전극(570) 및 가스 분배 시스템(540)은 도시된 바와 같이 동일한 챔버 어셈블리 내에서 설계될 수 있다. 대안적으로, 상위 전극(570)은 기판(525) 위에서 플라즈마에 커플링되는 RF 전력 분배를 조정하기 위한 다중-구역 전극 설계를 포함할 수 있다. 예를 들어, 상위 전극(570)은 중앙 전극 및 에지 전극으로 세그멘팅될 수 있다.
플라즈마 프로세싱 시스템(500)은 기판(525)에 대향하는 상위 전극(570)에 커플링되는 직류(DC) 전력 공급기(550)를 더 포함할 수 있다. 상위 전극(570)은 전극 플래이트를 포함할 수 있다. 전극 플래이트는 실리콘-함유 전극 플래이트를 포함할 수 있다. 또한, 전극 플래이트는 도핑된 실리콘 전극 플래이트를 포함할 수 있다. DC 전력 공급기(550)는 가변 DC 전력 공급기를 포함할 수 있다. 부가적으로, DC 전력 공급기(550)는 바이폴라 DC 전력 공급기를 포함할 수 있다. DC 전력 공급기(550)는 DC 전력 공급기(550)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하고, 조정하거나, 제어하는 것 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전력 공급기(550)는 탄도 전자 빔의 형성을 용이하게 한다. 전기 필터(도시되지 않음)는 DC 전력 공급기(550)로부터 RF 전력을 디-커플링(de-couple)하도록 활용될 수 있다.
예를 들어, DC 전력 공급기(550)에 의해 상위 전극(570)에 인가된 DC 전압은 대략적으로 -2000 볼트(V) 내지 대략적으로 1000 V 범위에 있을 수 있다. 바람직하게는, DC 전압의 절댓값은 대략적으로 100 V 이상의 값을 갖고, 보다 바람직하게는, DC 전압의 절댓값은 대략적으로 500V 이상의 값을 갖는다. 부가적으로, DC 전압은 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압은 상위 전극(570)의 표면 상에서 생성되는 자기-바이어스 전압(self-bias voltage) 초과의 절댓값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(520)를 마주하는 상위 전극(570)의 표면은 실리콘-함유 물질로 구성될 수 있다.
다른 타입들의 알려진 플라즈마 프로세싱 시스템들이 또한 본 명세서에서 개시된 기법들을 실행하는데 이용될 수 있다. 예를 들어, 대안적인 플라즈마 프로세싱 시스템들(도시되지 않음)은 RF 전력이 선택적인 임피던스 매칭 네트워크를 통해 RF 생성기를 통해 커플링되는 유도성 코일을 더 포함할 수 있다. RF 전력은 유전체 윈도우를 통해 유도성 코일로부터 플라즈마 프로세싱 영역으로 유도성으로 커플링된다. 유도성 코일로의 RF 전력의 인가를 위한 주파수는 약 10MHz 내지 약 100MHz 범위에 있을 수 있다. 유사하게, 척 전극으로의 전력의 인가를 위한 주파수는 약 0.1MHz 내지 약 100MHz 범위에 있을 수 있다. 또한, 슬롯화된 패러데이 실드(slotted Faraday shield)는 플라즈마 프로세싱 영역에서 플라즈마와 유도성 코일 간의 용량성 커플링을 감소시키도록 이용될 수 있다. 또한, 제어기는 유도성 코일로의 전력의 인가를 제어하기 위해 임피던스 매칭 네트워크 및 RF 생성기에 커플링될 수 있다.
대안적인 실시예에서, 플라즈마 프로세싱 시스템은 트랜스포머-커플링 플라즈마(transformer-coupled plasma; TCP) 리액터에서와 같이 플라즈마 프로세싱 영역과 통신하는, 위에서 "나선" 코일 또는 "팬케이크" 코일인 유도성 코일을 포함할 수 있다. 유도성-커플링 플라즈마(inductively-coupled plasma; ICP) 소스 또는 트랜스포머 커플링 플라즈마(TCP) 소스의 설계 및 구현은 당업자들에게 잘 알려져 있다. 대안적으로, 플라즈마는 전자 사이클로트론 공진(electron cyclotron resonance; ECR)을 이용하여 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 헬리콘 파(Helicon wave)의 런칭으로부터 형성된다. 또 다른 실시예에서, 플라즈마는 전파되는 표면파로부터 형성된다. 다른 플라즈마 프로세싱 시스템들은 도 5의 실시예와 유사할 수 있고, 표면파 플라즈마(surface wave plasma; SWP) 소스를 더 포함할 수 있다. SWP 소스는 마이크로파 전력이 전력 커플링 시스템을 통해 커플링되는 사선 슬롯 안테나와 같은 슬롯 안테나를 포함할 수 있다. 위에서 설명된 각각의 플라즈마 소스는 당업자들에게 잘 알려져 있다.
위의 설명에서, 프로세싱 시스템의 특정한 지오메트리 및 프로세싱 시스템에서 이용된 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정한 세부사항들이 기술되었다. 그러나 본 명세서에서의 기법들은 이들 특정한 세부사항들에서 벗어나는 다른 실시예들로 실시될 수 있으며, 이러한 세부사항들은 제한이 아니라 설명을 위한 것이라는 점이 이해되어야 한다. 본 명세서에서 개시된 실시예들은 첨부 도면들을 참조하여 설명되었다. 유사하게, 설명을 위해, 특정한 번호들, 물질들, 및 구성들이 완전한 이해를 제공하기 위해 기술되었다. 그럼에도, 실시예들은 이러한 특정한 세부사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구조체들을 갖는 컴포넌트들은 유사한 참조 문자들에 의해 표시되며, 이에 따라 임의의 중복 설명들이 생략될 수 있다.
다양한 기법들이 다양한 실시예들을 이해하는데 도움을 주도록 다수의 이산 동작들로서 설명되었다. 설명의 순서는 이들 동작들이 반드시 순서 의존적이라는 것을 암시하도록 해석되어선 안 된다. 실제로, 이들 동작들은 제시 순서로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예 이외의 다른 순서로 수행될 수 있다. 다양한 부가적인 동작들이 수행될 수 있고 그리고/또는 설명된 동작들은 부가적인 실시예들에서 생략될 수 있다.
본 명세서에서 이용된 바와 같은 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 프로세싱되는 객체를 지칭한다. 기판은 디바이스, 특히 반도체는 다른 전자 디바이스의 임의의 물질 부분 또는 구조체를 포함할 수 있고, 예를 들어, 반도체 웨이퍼와 같은 베이스 기판 구조체, 박막과 같이 베이스 기판 구조체 상에 있는 또는 위에 있는 층일 수 있다. 따라서, 기판은 패터닝되거나 패터닝되지 않은 임의의 특정한 베이스 구조체, 하부층, 또 상부층으로 제한되는 것이 아니라, 오히려 임의의 이러한 층 또는 베이스 구조체, 및 층들 및/또는 베이스 구조체들의 결합을 포함하도록 고려된다. 설명은 특정한 타입들의 기판들을 참조하지만, 이는 단지 예시 목적을 위한 것이다.
당업자는 또한 본 발명의 동일한 목적들을 여전히 달성하면서 위에서 설명된 기법들의 동작에 대한 이루어지는 다수의 변동들이 있을 수 있다는 것을 이해할 것이다. 이러한 변동들은 본 개시의 범위에 의해 포함되도록 의도된다. 따라서, 본 발명의 실시예들의 위의 설명들은 제한하는 것으로서 의도되지 않는다. 오히려, 본 발명의 실시예들의 임의의 제한들은 하기의 청구항에서 제시된다.

Claims (20)

  1. 반도체 기판을 프로세싱하기 위한 방법에 있어서,
    플라즈마 프로세싱 챔버에서 기판 홀더 상에 기판을 배치하는 단계로서, 상기 기판은 하부층 상에 배치되는 복수의 구조체들을 갖고, 상기 복수의 구조체들은 실리콘(Si) 또는 실리콘 질화물(SiN)로 구성되고, 각각의 구조체는 초기 임계 치수를 갖는 것인, 상기 기판을 배치하는 단계;
    구조체들의 노출된 표면들이 상기 구조체들에서 미리 결정된 두께로 산화되어 산화물의 층이 되게 하도록 상기 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마에 상기 기판을 노출하는 단계;
    상기 복수의 구조체들로부터의 구조체들의 제 2 부분은 보호 마스크가 없게 되도록 상기 보호 마스크로 상기 복수의 구조체들 중 구조체들의 제 1 부분을 마스킹하는 단계; 및
    상기 구조체들의 제 2 부분이 상기 초기 임계 치수 미만인 결과적인 임계 치수를 갖게 하는 가스 화학적 산화물 제거 프로세스를 이용하여 구조체들의 제 2 부분에 있는 구조체들로부터 상기 산화물의 층을 제거하는 단계
    를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 구조체들의 제 1 부분을 마스킹하는 마스킹 물질을 제거하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 복수의 구조체들에 의해 정의된 패턴을 상기 하부층에 전사하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 복수의 구조체들은 트랜지스터 게이트 구조체들을 에칭하기 위한 패턴을 정의하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 패턴을 전사하는 것은 상이한 임계 치수들을 갖는 게이트 구조체들을 정의하게 하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 산소-함유 플라즈마에 기판을 노출하는 단계는,
    상기 플라즈마로부터의 생산물들이 상기 복수의 구조체들의 노출된 표면들을 화학적으로 변형시키도록 산소-함유 가스의 흐름으로부터 상기 플라즈마 프로세싱 챔버에서 플라즈마를 유지하는 단계를 포함하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 산소-함유 가스는 실질적으로 O2인 것인, 반도체 기판을 프로세싱하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 미리 결정된 두께는 플라즈마 프로세싱 파라미터들에 기초하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 구조체들의 제 2 부분에 있는 구조체들로부터 상기 산화물의 층을 제거하는 단계는 가스 산화물 에칭 세정 프로세스(gaseous oxide etch cleaning process)를 이용하는 단계를 포함하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 구조체들의 제 1 부분을 마스킹하는 단계는,
    포토레지스트의 층을 퇴적하고, 상기 포토레지스트를 패터닝하고, 상기 포토레지스트의 용해 가능한 부분들을 현상하는 단계를 포함하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  11. 반도체 기판을 프로세싱하기 위한 방법에 있어서,
    하부층 상에 배치되는 복수의 구조체들을 갖는 기판을 제공하는 단계로서, 상기 복수의 구조체들은 실리콘(Si) 또는 실리콘 질화물(SiN)로 구성되고, 각각의 구조체는 초기 임계 치수를 갖는 것인, 상기 기판을 제공하는 단계;
    상기 복수의 구조체들로부터의 구조체들의 제 2 부분은 보호 마스크가 없게 되도록 상기 보호 마스크로 상기 복수의 구조체들로부터의 구조체들의 제 1 부분을 마스킹하는 단계;
    상기 구조체들의 제 2 부분으로부터 구조체들의 노출된 표면들은 상기 구조체들의 제 2 부분으로부터의 구조체들에서 미리 결정된 두께로 산화되어 상기 구조체들의 제 2 부분 상의 산화물의 층이 되게 하도록 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마에 상기 기판을 노출하는 단계; 및
    상기 구조체들의 제 2 부분이 상기 초기 임계 치수 미만인 결과적인 임계 치수를 갖게 하는 화학적 산화물 제거 프로세스를 이용하여 구조체들의 제 2 부분에 있는 구조체들로부터 상기 산화물의 층을 제거하는 단계
    를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 구조체들의 제 1 부분을 마스킹하는 마스킹 물질을 제거하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  13. 제 12 항에 있어서,
    마스킹 물질은 상기 구조체들의 제 2 부분이 산화된 이후 그리고 상기 구조체들의 제 2 부분에 있는 구조체들로부터 상기 산화물의 층을 제거하기 이전에 제거되는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  14. 제 12 항에 있어서,
    마스킹 물질은 상기 구조체들의 제 2 부분에 있는 구조체들로부터 상기 산화물의 층을 제거한 이후 제거되는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  15. 제 12 항에 있어서,
    상기 복수의 구조체들에 의해 정의된 패턴을 상기 하부층에 전사하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 복수의 구조체들은 트랜지스터 게이트 구조체들을 에칭하기 위한 패턴을 정의하고, 상기 패턴을 전사하는 것은 상이한 임계 치수들을 갖는 게이트 구조체들을 정의하게 하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  17. 제 11 항에 있어서,
    상기 산소-함유 플라즈마에 기판을 노출하는 단계는,
    상기 플라즈마로부터의 생산물들이 상기 복수의 구조체들의 노출된 표면들을 화학적으로 변형시키도록 실질적으로 O2인 산소-함유 가스의 흐름으로부터 상기 플라즈마 프로세싱 챔버에서 플라즈마를 유지하는 단계를 포함하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  18. 제 1 항에 있어서,
    상기 구조체들의 제 2 부분에 있는 구조체들로부터 상기 산화물의 층을 제거하는 단계는 가스 산화물 에칭 세정 프로세스를 이용하는 단계를 포함하고,
    상기 구조체들의 제 1 부분을 마스킹하는 단계는 포토레지스트의 층을 퇴적하고, 상기 포토레지스트를 패터닝하며, 상기 포토레지스트의 용해 가능한 부분들을 현상하는 단계를 포함하는 것인, 반도체 기판을 프로세싱하기 위한 방법.
  19. 반도체 기판을 프로세싱하기 위한 방법에 있어서,
    플라즈마 프로세싱 챔버에서 기판 홀더 상에 기판을 배치하는 단계로서, 상기 기판은 하부층 상에 배치되는 복수의 구조체들을 갖고, 상기 복수의 구조체들은 맨드렐(mandrel) 상에 형성되는 실리콘 질화물 측벽 스페이서들을 포함하며, 각각의 구조체는 초기 임계 치수를 갖는 것인, 상기 기판을 배치하는 단계;
    실리콘 질화물 측벽 스페이서들의 노출된 표면들이 상기 실리콘 질화물 측벽 스페이서들에서 미리 결정된 두께로 산화되어 산화물의 층이 되게 하도록 상기 플라즈마 프로세싱 챔버에서 산소-함유 플라즈마에 상기 기판을 노출하는 단계;
    상기 복수의 구조체들로부터의 구조체들의 제 2 부분은 보호 마스크가 없게 되도록 상기 보호 마스크로 상기 복수의 구조체들 중 구조체들의 제 1 부분을 마스킹하는 단계; 및
    상기 구조체들의 제 2 부분이 상기 초기 임계 치수 미만인 결과적인 임계 치수를 갖게 하는 화학적 산화물 제거 프로세스를 이용하여 구조체들의 제 2 부분에 있는 실리콘 질화물 측벽 스페이서들로부터 상기 산화물의 층을 제거하는 단계
    를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 구조체들의 제 1 부분을 마스킹하는 마스킹 물질을 제거하는 단계;
    각각의 맨드렐을 제거하는 단계; 및
    상기 실리콘 질화물 측벽 스페이서들에 의해 정의된 패턴을 상기 하부층에 전사하는 단계
    를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
KR1020150127112A 2014-09-09 2015-09-08 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법 KR102328025B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/481,136 US9165765B1 (en) 2014-09-09 2014-09-09 Method for patterning differing critical dimensions at sub-resolution scales
US14/481,136 2014-09-09

Publications (2)

Publication Number Publication Date
KR20160030378A KR20160030378A (ko) 2016-03-17
KR102328025B1 true KR102328025B1 (ko) 2021-11-17

Family

ID=54290428

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150127112A KR102328025B1 (ko) 2014-09-09 2015-09-08 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법

Country Status (3)

Country Link
US (1) US9165765B1 (ko)
KR (1) KR102328025B1 (ko)
TW (1) TWI627661B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US10483109B2 (en) * 2016-04-12 2019-11-19 Tokyo Electron Limited Self-aligned spacer formation
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process
CN110391136B (zh) * 2018-04-17 2022-03-15 联华电子股份有限公司 图案化方法
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
KR102516879B1 (ko) 2018-08-17 2023-03-31 삼성전자주식회사 다양한 선폭을 가지는 반도체 소자 및 이의 제조 방법
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
US10811258B1 (en) * 2019-06-24 2020-10-20 United Microelectronics Corp. Method for improving the quality of a high-voltage metal oxide semiconductor
US11215918B2 (en) * 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009529784A (ja) 2006-03-09 2009-08-20 マイクロン テクノロジー, インク. 集積回路の限界寸法を制御するトリム工程
JP2010219105A (ja) 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3586678B2 (ja) 2002-04-12 2004-11-10 エルピーダメモリ株式会社 エッチング方法
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20070013070A1 (en) * 2005-06-23 2007-01-18 Liang Mong S Semiconductor devices and methods of manufacture thereof
US7977249B1 (en) * 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US7989354B2 (en) 2007-06-08 2011-08-02 Tokyo Electron Limited Patterning method
JP5254351B2 (ja) * 2007-11-08 2013-08-07 ラム リサーチ コーポレーション 酸化物スペーサを使用したピッチ低減
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
TWI546859B (zh) * 2012-03-09 2016-08-21 聯華電子股份有限公司 半導體裝置之圖案化結構及其製作方法
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009529784A (ja) 2006-03-09 2009-08-20 マイクロン テクノロジー, インク. 集積回路の限界寸法を制御するトリム工程
JP2010219105A (ja) 2009-03-13 2010-09-30 Tokyo Electron Ltd 基板処理方法

Also Published As

Publication number Publication date
US9165765B1 (en) 2015-10-20
TWI627661B (zh) 2018-06-21
TW201621983A (zh) 2016-06-16
KR20160030378A (ko) 2016-03-17

Similar Documents

Publication Publication Date Title
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
KR102445013B1 (ko) 하드 마스크들을 사용하지 않고서 자기 정렬 패터닝 방식들에서 패턴 밀도를 증가시키는 방법
US10438797B2 (en) Method of quasi atomic layer etching
US9881807B2 (en) Method for atomic layer etching
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
TWI623021B (zh) 乾電漿蝕刻時之選擇性的提升方法
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
US9484202B1 (en) Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9607843B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
US9576816B2 (en) Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
US8236700B2 (en) Method for patterning an ARC layer using SF6 and a hydrocarbon gas
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
CN108885402B (zh) 选择性SiARC去除
US9899219B2 (en) Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
US6066567A (en) Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US10170329B2 (en) Spacer formation for self-aligned multi-patterning technique
US10490404B2 (en) Method of in situ hard mask removal
US10937664B2 (en) Surface modification process
KR20230008099A (ko) 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant