JP6175570B2 - ガスパルスを用いる深掘りシリコンエッチングのための方法 - Google Patents

ガスパルスを用いる深掘りシリコンエッチングのための方法 Download PDF

Info

Publication number
JP6175570B2
JP6175570B2 JP2016552221A JP2016552221A JP6175570B2 JP 6175570 B2 JP6175570 B2 JP 6175570B2 JP 2016552221 A JP2016552221 A JP 2016552221A JP 2016552221 A JP2016552221 A JP 2016552221A JP 6175570 B2 JP6175570 B2 JP 6175570B2
Authority
JP
Japan
Prior art keywords
gas mixture
process gas
silicon
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016552221A
Other languages
English (en)
Other versions
JP2016537830A (ja
Inventor
ダブリュ レフェヴレ,スコット
ダブリュ レフェヴレ,スコット
ランジャン,アロック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016537830A publication Critical patent/JP2016537830A/ja
Application granted granted Critical
Publication of JP6175570B2 publication Critical patent/JP6175570B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、シリコン中のフィーチャ(features)をエッチングすることを含む、半導体基板内のフィーチャをエッチングするための方法に関する。
半導体産業では、集積回路(IC)の製造は、通常、プラズマ反応器を用いて、基板から材料を除去する(そして、基板に材料を堆積させる)ように使用される表面化学物質の助けとなるプラズマの生成を伴う。ドライプラズマエッチングプロセスは、半導体基板上にパターン化された、微細なラインに沿ってか、あるいは、ビア内又はコンタクトにおいて、材料を除去又はエッチングするように慣例的に用いられる。首尾よいプラズマエッチングプロセスには、エッチング化学物質が必要とされ、エッチング化学物質は、ある材料を選択的にエッチングするのに適切な化学物質反応体を含む一方で、実質的に別の材料をエッチングしない。
例えば、半導体基板上で、保護層内に形成されるパターンは、プラズマエッチングプロセスを用いて、選択された材料の下部層に転写され得る。保護層は、リソグラフプロセスを用いて形成されるパターンを有するフォトレジスト層などの感光層を含む。いったんそのパターンが形成されると、半導体基板がプラズマプロセスチャンバ内に配置され、エッチング化学物質が形成され、保護層を最小限にエッチングしながら下部層を選択的にエッチングする。このエッチング化学物質は、親分子を有するイオン性で解離性のガス混合物を導入することによって生成される。親分子は、保護又はパターン層と最小限に反応しながら下部層と反応する分子成分を含む。存在するガス種の一部がエネルギー電子との衝突に続いてイオン化されるとき、エッチング化学物質の生成は、ガス混合物の導入とプラズマの形成を含む。加熱された電子は、ガス混合物の一部の種を解離させ、かつ、(親分子の)化学成分の反応混合物を生成するのに役立つことができる。
化学成分の反応混合物及びイオン化されたガス種が提供され、さまざまなフィーチャ(例えば、トレンチ、ビア、コンタクトなど)が、半導体基板の暴露された領域内でエッチングされ得る。エッチングされる典型的な材料は、例えば、シリコン酸化物(SiOx)、シリコン窒化物(SiNy)、多結晶シリコン(ポリシリコン)、単結晶シリコン(シリコン)並びにドープ及び非ドープシリコンを含む。
ボッシュプロセス(エッチング−堆積−エッチング−堆積)などの時間多重エッチングプロセスは、貫通シリコンビア(TSV)などの高アスペクト比のフィーチャをエッチングするように使用されてきた。時間多重プロセスにおいて、深さの小さいフィーチャがエッチングされ、次いで、エッチングガスが停止され、パッシベーションガスが開始されてエッチングされた側壁上にポリマーを形成する。このプロセスは、所望の深さに達するまで繰り返される。しかしながら、時間多重エッチングプロセスの望ましくない副作用は、代替的な堆積及びエッチング工程の結果として所定のエッチングされたフィーチャの側壁の特徴的なスキャロップ(scalloping)である。これらのようなプロファイルのむらは、複雑化につながり、最終的に、後続の金属化後の電気性能を低下させてしまう。
時間多重エッチングの代替は、ワンステップ(連続)プロセスであり、これは、エッチング及びパッシベーションメカニズムを同時に行い、特に、フッ素及び酸素系の化学物質を使用する。そのようなワンステッププロセスは、より連続したプロファイル(スキャロップなし)を生成することができるが、ワンステッププロセスは、アスペクト比の限界(特により小さな寸法で)や深さ限界を被る。
本明細書中に開示された技術は、高アスペクト比のフィーチャをエッチングし、比較的滑らかなプロファイルを残す連続ガスパルスプロセスを用いて、深掘りシリコンフィーチャ(deep silicon features)をエッチングするための方法を含む。そのような方法では、ボッシュプロセスよりも速いエッチング速度を提供し、アンダーカットをほとんど又は全く有しないエッチングされたプロファイルを提供し、良好なマスク選択性を提供する化学物質を使用する。技術は、交互の化学物質の循環ガスパルスプロセスを含む連続プロセスを用いることを含む。
一実施形態は、基板上の深掘りシリコンフィーチャをエッチングするための方法を含む。この方法は、プラズマプロセスシステムにおいて、基板ホルダ上に基板を配置することを含む。基板は、シリコン表面を暴露する開口を画定するパターン化されたマスク層を有する。第1プロセスガス混合物をプラズマプロセスシステムに流入する。第1プロセスガス混合物は、シリコン、酸素及び少なくとも1つのハロゲンを含む。第2プロセスガス混合物をプラズマ処理システムに流入する。この第2プロセスガス混合物は、ハロゲン含有ガス及びフルオロカーボンガスを含む。プラズマは、第1プロセスガス混合物から形成され、かつ、シリコン表面がパターン化されたマスク層を介してプラズマに暴露されるように第2プロセスガス混合物から形成される。プラズマ及び第1ガス混合物からの生成物を用いて、酸化物層が、基板内の1つ以上のシリコンフィーチャの側壁及び底面に形成される。方法は、プラズマ及び第2プロセスガス混合物からの生成物を用いて、基板内の1つ以上のシリコンフィーチャをエッチングすることを含む。
専用のエッチング及び堆積工程を用いる時間多重プロセスとは対照的に、本明細書中の技術は、交互のストロングマイルドエッチングメカニズム(strong−mild etch mechanism)(少ないパッシベーション)に従うが、連続エッチングと共にガスパルスを提供する。そのような技術では、残留ポリマー残渣が増加することなく、より高いエッチング速度を維持することができる。
もちろん、本明細書中に記載されるような異なる工程の議論の順序は、簡明を期す目的で与えられている。一般的には、これらの工程は、任意の適切な順序で実行されて良い。それに加えて、本明細書中の各異なるフィーチャ、技術、構成などの各々が、本開示の各異なる箇所で論じられているが、各基本的概念は、互いに独立して実行されるか、あるいは、互いに組み合わせて実行されて良い。従って、本発明は、多くの異なる方法で実施及び観測されて良い。
ただし、「発明の概要」は、本開示又は請求項に係る発明の各実施形態及び/又は徐々に増大する新規の態様を特定しない。その代わりに、この「発明の概要」は、さまざまな実施形態の基本的な議論及び実施形態の従来方法に対する新規な対応する要点を供するだけである。本発明及び実施形態の更なる詳細及び/又は可能な視座については、後述する本開示の「発明を実施するための形態」及び対応する図面を参照されたい。
本発明のさまざまな実施形態及びその付随する利点についてのより完全な諒解は、添付図面と併せて考慮されるべき以下の詳細な説明を参照することで容易に明らかになる。図面は必ずしも縮尺通りではなく、フィーチャ、原理及び基本概念を表す際には強調されている。
本明細書中の実施形態に従ったエッチングされているフィーチャの断面図である。 本明細書中の実施形態に従ったエッチングされているフィーチャの断面図である。 本明細書中の実施形態に従った連続ガスパルスプロセスのグラフである。 本明細書中の実施形態に従った連続ガスパルスプロセスのグラフである。 本明細書中の実施形態に従った連続ガスパルスプロセスのグラフである。 さまざまなエッチング技術の成果物の断面図である。 さまざまなエッチング技術の成果物の断面図である。 さまざまなエッチング技術の成果物の断面図である。 本明細書中の実施形態に従った深掘りシリコンエッチングの方法のフローチャートである。 本明細書中の実施形態に従ったプラズマプロセスシステムの概略図である。
本明細書中に開示される技術は、連続ガスパルスプロセスを用いて深掘りシリコンフィーチャをエッチングするための方法を含み、連続ガスパルスプロセスは、比較的滑らかなプロファイルを有する高アスペクト比のフィーチャをエッチングする。そのような方法は、ボッシュプロセスよりも速いエッチング速度を提供し、アンダーカットがほとんど又は全くないエッチングされたプロファイルを提供し、良好なマスク選択性を提供する化学物質を使用する。技術は、交互の化学物質の循環ガスパルスプロセスを含む連続プロセスを使用することを含む。
本明細書中の技術は、2つのガス化学物質又は混合物を使用し、次いで、混合物が優勢な流量を有することに応じて、各ガス化学物質間を循環する。従って、両ガス化学物質を同時に、かつ、連続して流す一方で、各流れの量は最大と最小との間で変化する。
図1A及び図1Bは、シリコン基板105内にエッチングされている、貫通シリコンビアなどのフィーチャ107を示す。フィーチャの形成において使用されるガス化学物質は、パッシベーション/酸化化学物質110である。酸化化学物質は、シリコン、酸素及び少なくとも1つのハロゲン(フッ素、クロム、臭素など)を含む。例えば、O2は、酸化形成のために使用され得る。シリコン及びハロゲンは、SiF4、SiCl4、SiBr4などに由来することができる。O2は独立して使用されることができるが、相当の時間がかかる。シリコン及びハロゲン添加物は、エッチングされているフィーチャの側壁及び底面に沿って薄くラインする(thinly line)ハロゲン含有酸化堆積物135を形成するように使用され得る。
使用される別のガス化学物質は、エッチング化学物質120である。エッチング化学物質は、ハロゲン含有ガス及びフルオロカーボンガスを含む。例えば、ハロゲン含有ガスは、SF6、NF3、XeF2、Cl、Brなどを含むことができる。ハロゲン含有ガスは、シリコン基板105をエッチングするために主として機能する。フルオロカーボンガスは、CxFyを含むことができ、x及びyは1以上である。フルオロカーボンガスは、ビアの底面から酸化物135を除去することによってエッチング強化のために主として使用される。パッシベーション化学物質がフィーチャの表面に酸化物を形成するので、この酸化物は、トレンチ又はビアのエッチングを続けるために除去される必要がある。
図2A〜図2Bは、プロセス時間に対する各ガス化学物質の総流量を示すグラフである。図2Aは、機能時間としてエッチング化学物質120の流量を示す。ただし、エッチング化学物質は、最小量の連続した流量を有し、また、増大した流量の循環又はパターンを有する。図2Bは、機能時間として酸化化学物質110の流量を示す。図に示すように、酸化化学物質110はまた、増大した流量の繰り返し周期と共に最小量の連続した流量を有する。図2A及び図2Bの比較は、各ガス化学物質の増大した、あるいは、高い流量の周期が交互に起こるということを示す。言い換えると、エッチング化学物質及び酸化化学物質は、優勢な流量を有して交互に行う一方で、各々は、最小連続流量(minimum continuous flow)を提供する。図2Cは、両ガス化学物質の流量を示すグラフである。ただし、優勢なガス周期は、優勢な流量の間で勾配的又は段階的な変化を有することができる。従って、各工程においてエッチングの発生があり、勾配的な化学物質の変化は、より滑らかな側壁を生じさせることに役立つことができる。
従来のエッチングプロセスでは、一般的にポリマー層を生成して側壁を保護する。そのようなポリマーパッシベーションは、一般的にCF2又は堆積される同様の材料を提供される。しかしながら、本明細書中の技術により、SiO2又は同様の材料は、コンフォーマルな酸化物を生成するように使用され、従って、ポリマー/不動態化層の代わりに酸化物層を提供する。酸化物が堆積されるので、本明細書中の技術により、C4F8などのフルオロカーボンは、底部/水平の表面に堆積される酸化物を除去するように使用されて、下層シリコン材料内へとエッチングし続けることができる。
一部の実施形態において、多くのCF2を生成しないエッチングレジームが選択される。ほとんど又は全くないCF2は、大部分の適用において必要とされる。従って、C4F8は、いっそう多くのチューニングガスとして使用され得る。一例示的な化学物質において、SF6は、エッチングのために使用される一方で、SiF4及びO2は、酸化物の生成/パッシベーションのために使用され得る。任意のCFxイオンガスは、チューニングのために加えられ得る。これらのガスからの炭素は、フィーチャの底部から酸化物を除去するために使用され得る。従って、パッシベーション工程(酸化工程)において、シリコン酸化物様堆積/変形(silicon oxide−like deposition/transformation)が、所定のエッチングされたフィーチャの側部及び底部で生じ、次いで、優勢なエッチングの工程中、炭素が加えられ、フィーチャの底面から酸化物を除去し、下層シリコンのエッチングを容易にする。パッシベーション工程は、不動態ガス化学物質(酸化化学物質)を優勢に流すときを指し、次いで、エッチング工程は、不動態ガス化学物質に対してエッチングガスを優勢に流すときを指す。
本明細書中に記載のこれらの化学物質は、ガスパルス技術を伴い、従来技術に対する利点を提供する。例えば、図3Aは、ワンステップ連続エッチングプロセスから生じるサンプルのエッチングされたフィーチャ310を示す。ただし、生じるプロファイルは撓み(bowed)、次いで、終点にテーパが付き、これが連続プロセスのアスペクト比の限界を強調する。図3Bは、時間多重(ボッシュ)エッチングプロセスから生じる一般的なプロファイルを有するサンプルのエッチングされたフィーチャ320を示す。ボッシュプロセスはワンステッププロセスよりも深くエッチングすることができるが、プロファイルは、残念ながらスキャロップを有して(scalloped profile)現われる粗い側壁面を発達させてしまう。さらに、従来のフルオロカーボン含有パッシベーションを使用することは、フィーチャの上部をしばしば塞ぐ可能性があり、それ故、フィーチャのエッチングと干渉する。図3Cは、本明細書中の実施形態に従ったエッチングプロセスの実行から生じるプロファイルを有したサンプルのエッチングされたフィーチャ330を示す。形成されるコンフォーマルな酸化物は、スキャロップを低減させることができ、かつ、滑らかな側壁及び高アスペクト比を有するエッチングされたフィーチャを生み出すことができる。また、ポリマーがほとんど又は全く堆積されていないので、本明細書中の技術は、フィーチャの上部を塞ぐことを防ぐ。ポリマーを堆積することとは対照的に、本明細書中の技術は、表面を酸化させ、この酸化した表面は、横向きエッチングを防止する。ただし、時間多重プロセスでは、所定のフィーチャの側壁上に真に堆積物がある、つまり、ポリマーが堆積される。しかしながら、本明細書中のコンフォーマルな酸化物は、成長しているか、あるいは、飽和状態にあると考えられ得る。
図4を参照すると、フローチャートには、深掘りシリコンフィーチャをエッチングするための、本明細書中の実施形態を実行する例示的な方法が示される。
工程410では、プラズマプロセスシステム内の基板ホルダ上に、基板が配置又は設置される。基板は、例えば、シリコン基板又はウエハでよい。基板は、シリコン表面を暴露する開口を画定するパターン化されたマスク層を有する。層形成及びパターニングは、従来の半導体製造用工具を使用して実行され得る。パターン化されたマスク層は、1つ以上の層を含むことができる。
工程420では、基板上方の空間又はプラズマ処理領域などのプラズマ処理システムに第1プロセスガス混合物を流入する。第1プロセスガス混合物は、シリコン、酸素及び少なくとも1つのハロゲンを含む。例えば、第1プロセスガス混合物は、ハロゲン含有シリコンガス及び酸素含有ガスを含む。ハロゲン含有シリコンガスは、SiFx、SiClx及びSiBrxを含むいくつかの代替物から選択れることができ、xは1以上である。酸素含有ガスは、原子酸素、二原子酸素及びオゾンなどのいくつかの選択肢から選択され得る。特定の実施例により、ハロゲン含有シリコンガスは、SiF4、SiCS4、SiCl4又はハロシランでよい。ハロゲン含有シリコンガスの使用は、酸化物だけを使用する場合と比べて酸化物層の成長を加速させることができる。従って、第1プロセスガス混合物は、ハロゲンに富む酸化膜(halogen rich oxide films)又は酸化物様膜(oxide like films)を生成する、SiO堆積ガスである。
工程430では、プラズマ処理システムに第2プロセスガス混合物を流入する。第2プロセスガス混合物は、ハロゲン含有ガス及びフルオロカーボンガスを含む。例えば、第2プロセスガス混合物からのハロゲン含有ガスは、SF6、NF3、XeF2、塩素及び臭素から成る群から選択され得る。第2プロセスガス混合物(イオン化後)からのハロゲン含有ガスからの生成物は、基板内のシリコンをエッチングするように使用され得る。第2プロセスガス混合物からのフルオロカーボンガスは、CxFyHzでよく、x及びyは1以上であり、zは0以上である。プラズマ及びフルオロカーボンガスからの生成物は、1つ以上のシリコンフィーチャの底面上で、(第1プロセスガス混合物からの)酸化堆積物をエッチングするように使用され得る。ただし、フルオロカーボンガス(C4F8でよい)をエッチング液から別々に流入するが、その代り、ポリマー形成ガスとしての代わりに酸化エッチング液として、エッチング液と共に流入する。従って、第2プロセスガス混合物は、ハロゲン含有エッチング液及び酸化エッチング液を含む。
工程440では、プラズマが、第1プロセスガス混合物から形成され、かつ、シリコン表面がパターン化されたマスク層を介してプラズマに暴露されるように第2プロセスガス混合物から形成される。そのようなプラズマ生成は、基板上方の領域におけるプラズマプロセスシステム内で起こり得る。
工程450では、酸化物層が、プラズマ及び第1ガス混合物からの生成物を用いて、基板内の1つ以上のシリコンフィーチャの側壁及び底面に形成される。酸化物層を形成することは、1つ以上のシリコンフィーチャの側壁及び底面に酸化物層をコンフォーマルに堆積又は成長させることを含む。酸化堆積物は、シリコン、酸素及び少なくとも1つのハロゲンを含む。
工程460では、基板内の1つ以上のシリコンフィーチャは、プラズマ及び第2プロセスガス混合物からの生成物を用いてエッチングされる。
一部の実施形態において、第1プロセスガス混合物及び第2プロセスガス混合物の各流速は、優勢な流速が第1プロセスガス混合物と第2プロセスガス混合物との間で交互に行われるように、時間によって変えられ得る。言い換えると、第1プロセスガス混合物及び第2プロセスガス混合物の両方は、所定の最小流量を有し、1つのガスの最小流量(0以上でよい)は、他のガスの流量が増大したか、あるいは、より大きな相対的な流量にある間、生じる。各流速を変化させることは、図2Cに図示したように、流速間で勾配遷移(gradient transition)を有することを含むことができる。第1プロセスガス混合物を流すこと及び第2プロセスガス混合物を流すことは、1つ以上のシリコンフィーチャをエッチングする工程中に、各ガス混合物の最小連続流量があるように所定量を超える各流速を維持することを含むことができる。各ガス混合物のデューティサイクルは、等しいか、あるいは、異なる。例えば、エッチング化学物質の時間は、酸化化学物質の時間よりも長く続くことができる。この重エッチングパルス(etch−heavy pulsing)は、より深いエッチング長などのいくつかの利点を有することができ、それ故、特定のエッチングを適用するために選択され得る。
基板内の1つ以上のシリコンフィーチャをエッチングすることは、約20マイクロより大きなエッチング深さによって特徴づけられ、かつ、約5対1より大きい深さ対幅のアスペクト比を有するフィーチャを形成するまで、エッチングプロセスを続けることを含むことができる。
さまざまな、異なる従来のプラズマプロセスシステムは、堆積及びエッチングのプロセス工程のために使用され得る。上述のさまざまな実施形態に従ったスペーサーエッチングプロセスシーケンスを実行するための方法のうちの1つ以上は、さまざまな従来のプラズマプロセスシステムのうちの任意の1つで行われ得る。図5は、1つの例示的なプラズマプロセスシステム500を図示する。プラズマプロセスシステム500は、上記のプロセス状態を行うように構成され、プラズマプロセスチャンバ510、処理される基板525が上に付けられる基板ホルダ520及び真空ポンプシステム550を含む。基板525は、半導体基板、ウエハ、平坦なパネルディスプレイ又は液晶ディスプレイでよい。プラズマプロセスチャンバ510は、基板525の表面の近傍において、プラズマプロセス領域545内にプラズマの生成を促すように構成され得る。イオン化可能ガス又はプロセスガスの混合物は、ガス分配システム540を介して導入される。プロセスガスの所定流量に関し、プロセス圧力は、真空ポンプシステム550を用いて調整される。プラズマは、所定材料のプロセスに特定した材料を形成するか、あるいは、基板525の暴露した表面から材料を除去することを援助するように利用され得る。プラズマプロセスシステム500は、200mmの基板、300mmの基板、450mm以上などの、任意の所望サイズの基板を処理するように構成され得る。
基板525は、機械クランプシステム又は電気クランプシステム(例えば、静電気クランプシステム)などのクランプシステム528を介して基板ホルダ520に取り付けられ得る。更に、基板ホルダ520は、加熱システム(図示しない)又は冷却システム(図示しない)を含むことができ、これは、基板ホルダ520及び基板525の温度を調整及び/又は制御するように構成される。加熱システム又は冷却システムは、基板ホルダ520から熱を受容し、冷却するときに熱交換システム(図示しない)に熱を伝える、あるいは、加熱するときに熱交換システムから基板ホルダ520へと熱を伝える伝熱流体の流量を再循環させることを含むことができる。他の実施形態において、抵抗加熱要素などの加熱/冷却システム又は熱電加熱器/冷却器は、プラズマプロセスチャンバ510のチャンバ壁及びプラズマプロセスシステム500内の任意の他の構成要素と同様に、基板ホルダ520に含まれることができる。
更に、基板525と基板ホルダ520との間のガスギャップ熱伝導性を向上させるために、伝熱ガスは、裏面ガス供給システム526を介して基板525の裏面に送られることができる。そのようなシステムは、基板の温度制御が昇温又は低温で必要とされるときに利用され得る。例えば、裏面ガス供給システムは、2ゾーンガス分配システムを含むことができ、ヘリウムガスギャップ圧力を、基板525の中心と縁部との間で独立して変えることができる。
基板ホルダ520は、電極522を含むことができ、これを介してRF電力は、プラズマプロセス領域545内で処理プラズマに結合される。例えば、基板ホルダ520は、RF電圧で、RF発生器530から任意のインピーダンス整合ネットワーク(impedance match network)532を介して基板ホルダ520へとRF電力を伝達することによって、電気的にバイアスされ得る。RF電気バイアスは、電子を加熱してプラズマを形成及び維持するように機能することができる。この構成において、システムは、反応性イオンエッチング(RIE)反応器として動作することができ、チャンバ及び上方ガス噴射電極は、接地面(ground surface)として機能する。RFバイアスのための一般的な周波数は、約0.1MHz〜約100MHzの範囲でよい。プラズマプロセスのためのRFシステムは、当業者に周知である。
更に、RF電圧での電極522の電気バイアスは、パルス化されたバイアス信号コントローラ531を用いてパルス化され得る。RF発生器530から出力されるRF電力は、例えば、オフ状態とオン状態との間でパルス化され得る。代替的に、RF電力は、多重周波数で基板ホルダ電極に印加される。また、インピーダンス整合ネットワーク532は、反射電力を低減することによって、プラズマプロセスチャンバ510におけるプラズマへのRF電力の伝達を向上させることができる。整合ネットワークトポロジー(例えば、Lタイプ、 タイプ、Tタイプなど)及び自動制御方法は、当業者に周知である。
ガス分配システム540は、プロセスガスの混合物を導入するためのシャワーヘッド設計を含むことができる。代替的に、ガス分配システム540は、プロセスガスの混合物を導入するため、かつ、基板525上方にプロセスガスの混合物の分配を調整するためのマルチゾーンシャワーヘッド設計を含むことができる。例えば、マルチゾーンシャワーヘッド設計は、基板525上方の実質的に中心領域へのプロセスガスの流量又は組成物の量に対して、基板525上方の実質的に周辺領域へのプロセスガスの流量又は組成物を調整するように構成され得る。
真空ポンプシステム550は、毎秒最大約5000リットルのポンプ速度を可能にするターボ分子真空ポンプ(TMP)と、チャンバ圧力をスロットルで調整する(throttle)ためのゲートバルブとを含むことができる。ドライプラズマエッチングのために利用される従来のプラズマプロセスデバイスにおいて、毎秒1000〜3000リットルでTMPが用いられ得る。TMPは、低圧プロセスにとって有益であり、一般的に約50mTorrより小さい。高圧プロセス(つまり、約100mTorrより大きい)のために、機械式増圧ポンプ及び乾燥式粗引ポンプが使用され得る。更に、チャンバ圧力を監視するためのデバイス(図示しない)が、プラズマプロセスチャンバ510に結合され得る。
コントローラ555は、マイクロプロセッサと、メモリと、プラズマプロセスシステム500からの出力を監視するだけでなくプラズマプロセスシステム500への入力を通信及び活性化させるのに十分な制御電圧を発生させることができるデジタルI/Oポートとを含む。更に、コントローラ555は、基板加熱/冷却システム(図示しない)、裏面ガス供給システム526及び/又は静電気クランプシステム528と同様に、RF発生器530、パルス化されたバイアス信号コントローラ531、インピーダンス整合ネットワーク532、ガス分配システム540、真空ポンプシステム550に結合され、かつ、情報をそれらと交換することができる。例えば、プラズマエッチングプロセスなどのプラズマ支援プロセスを基板525上に実行するために、メモリに記憶されたプログラムは、プロセスレシピに従って、プラズマプロセスシステム500の上述の構成要素への入力を活性化するように利用され得る。
コントローラ555は、プラズマプロセスシステム500に対して局在することができるか、あるいは、プラズマプロセスシステム500に対して遠隔に位置することができる。例えば、コントローラ555は、直接接続、イントラネット及び/又はインターネットを用いて、プラズマプロセスシステム500とデータを交換することができる。コントローラ555は、例えば、カスタマーサイト(つまり、デバイスメーカーなど)でイントラネットに結合され得るか、あるいは、例えばベンダーサイト(つまり、機器製造者)でイントラネットに結合され得る。代替的に、あるいは、追加的に、コントローラ555はインターネットに結合され得る。更に、別のコンピュータ(つまり、コントローラ、サーバなど)は、コントローラ555にアクセスして直接接続、イントラネット及び/又はインターネットを介してデータを交換することができる。
プラズマプロセスシステム500は、固定の、機械的な、あるいは、電気的な回転磁界システム(図示しない)を更に含んで、プラズマ密度を潜在的に増加させ、かつ/あるいは、プラズマプロセスの均一性を向上させることができる。更に、コントローラ555は、磁界システムに結合されて回転の速度及び電界強度を調整することができる。回転磁界の設計及び実装は、当業者に周知である。
プラズマプロセスシステム500は、上方電極570を更に含むことができ、この上方電極570に、RF電力がRF発生器572から任意のインピーダンス整合ネットワーク574を介して結合され得る。上方電極にRF電力を印加するための周波数は、約0.1MHz〜約200MHzの範囲でよい。また、下方電極に電力を印加するための周波数は、約0.1MHz〜約100MHzの範囲でよい。更に、上方電極570へのRF電力の印加を制御するために、コントローラ555は、RF発生器572及びインピーダンス整合ネットワーク574に結合される。上方電極の設計及び実装は当業者に周知である。図示するように、上方電極570及びガス分配システム540は、同一のチャンバアセンブリ内に設計され得る。代替的に、上方電極570は、基板525上方のプラズマに結合されるRF電力分配を調整するために、マルチゾーン電極設計を含むことができる。例えば、上方電極570は中心電極及びエッジ電極に分割され得る。
プラズマプロセスシステム500は、基板525に対向する上方電極570に結合される直流(DC)電力供給550を更に含むことができる。上方電極570は、電極プレートを含むことができる。電極プレートは、シリコン含有電極プレートを含むことができる。更に、電極プレートは、ドープされたシリコン電極プレートを含むことができる。DC電力供給550は、可変DC電力供給を含むことができる。また、DC電力供給550は、双極DC電力供給を含むことができる。DC電力供給550は、DC電力供給550の極性、電流、電圧又はオン/オフ状態の監視、調整又は制御のうちの少なくとも1つを行うように構成されるシステムを更に含むことができる。いったんプラズマが形成されると、DC電力供給550は、弾道電子ビームの形成を促進する。電気フィルタ(図示しない)は、DC電力供給550からのRF電力を分離するように利用され得る。
例えば、DC電力供給550によって上方電極570に印加されるDC電圧は、約−2000V〜約1000Vの範囲でよい。望ましくは、DC電圧の絶対値は、約100V以上の値を有し、より望ましくは、DC電圧の絶対値は、約500V以上の値を有する。また、DC電圧は負の極性を有することが望ましい。更に、DC電圧は、上方電極570の表面に発生する自己バイアス電圧よりも大きな絶対値を有する負の電圧であることが望ましい。基板ホルダ520に面する上方電極570の表面は、シリコン含有材料から構成され得る。
他のタイプの周知のプラズマプロセスシステムはまた、本明細書中に開示される技術を実行するように使用され得る。例えば、代替的なプラズマプロセスシステム(図示しない)は誘導コイルを更に含み、この誘導コイルに、RF電力が任意のインピーダンス整合ネットワークを通りRF発生器を介して結合される。RF電力は、誘電ウィンドウを介してプラズマプロセス領域へと誘導コイルから誘導結合される。誘導コイルにRF電力を印加するための周波数は、約10MHz〜約100MHzの範囲でよい。同様に、チャック電極に電力を印加するための周波数は、約0.1MHz〜約100MHzの範囲でよい。加えて、スロット付きファラデーシールド(slotted Faraday shield)は、プラズマプロセス領域における誘導コイルとプラズマとの間の容量結合を小さくするように用いられ得る。更に、コントローラがRF発生器及びインピーダンス整合ネットワークに結合されて、誘導コイルへの電力の印加を制御することができる。
代替的な実施形態において、プラズマプロセスシステムは、トランス結合プラズマ(TCP)反応器内でのように、上方からのプラズマプロセス領域と通信する「螺旋(spiral)」コイル又は「パンケーキ(pancake)」コイルである誘導コイルを含むことができる。誘導結合プラズマ(ICP)ソース又はトランス結合プラズマ(TCP)ソースの設計及び実装は、当業者に周知である。代替的に、プラズマは、電子サイクロトロン共鳴(ECR)を用いて形成され得る。更に別の実施形態において、プラズマは、ヘリコン波の開始から形成される。また別の実施形態において、プラズマは、伝播表面波(propagating surface wave)から形成される。他のプラズマプロセスシステムは、図5の実施形態と同様のものでよく、表面波プラズマ(SWP)ソースを更に含むことができる。SWPソースは、ラジアルラインスロットアンテナ(RLSA)などのスロットアンテナを含むことができ、それに、マイクロ波電力が、電力結合システムを介して結合される。上記のエッチングプラズマソースは当業者に周知である。
前述の記載では、具体的詳細、例えば本願で用いられた処理システムの具体的幾何学構造及びさまざまな構成要素及び処理についての説明が記載された。しかし、本明細書中の方法は、これらの具体的詳細から逸脱する他の実施形態でも実施可能であり、かつ、係る詳細は、説明目的であって限定目的ではないことを理解されたい。本明細書中に開示された実施形態は、添付図面を参照しながら説明される。同様に説明目的で、具体的な数字、材料及び構成が、完全な理解を与えるために明記される。それでもなお、実施形態は、そのような具体的詳細が明らかにされなくても実施可能である。機能上、実質的に同一の構成を有する構成要素は、同様の参照符号で表される。よって、任意の冗長な説明は省略されて良い。
さまざまな方法が、さまざまな実施形態の理解の助けとなる、複数の別個の動作として記載されてきた。記載の順序は、これらの動作が必ず順序に依存することを示唆していると解釈されてはならない。特にこれらの動作は、提示順に実行される必要はない。記載された動作は、記載された実施形態とは異なる順序で実行されて良い。更なる実施形態では、さまざまな更なる動作が実行され良く、かつ/あるいは、記載された動作は省略されて良い。
本明細書中で用いられている「基板(substrate)」又は「対象基板(target substrate)」とは、概して、本発明に従って処理される対象物を指称する。基板は、具体的には半導体又は他の電子デバイスなどのデバイス任意の材料部分又は構造を含み、かつ、半導体ウエハなどの基礎となる基板構造か、あるいは、基礎となる基板構造上の層又はそれを覆う層であって良い。よって、基板は、任意の特定の基礎となる構造である下地層又は上地層、パターン化されたもの又はパターン化されないものに限定されず、むしろ、任意のそのような層又は基礎となる構造と、層及び/又は基礎となる構造の任意の組み合せとを含むと考えられる。記載は、特定のタイプの基板を参照しているが、これは例示目的に過ぎない。
当業者は、本発明と同一の目的を実現しつつ、上述の技術の動作に対する多くの変形が存在し得ることを理解している。そのような変形は、本開示の技術的範囲によって網羅されていると意図される。そのため、本発明の実施形態の上述の説明は、限定的と意図されてはならない。むしろ、本発明の実施形態への限定は、以下の特許請求の範囲で提示される。

Claims (11)

  1. 基板上の深掘りシリコンフィーチャをエッチングするための方法であって、
    プラズマプロセスシステム内の基板ホルダ上に基板を配置する工程であり、前記基板は、シリコン表面を暴露する開口を画定するパターン化されたマスク層を有する、工程と、
    前記プラズマプロセスシステム内に第1プロセスガス混合物を流す工程であり、前記第1プロセスガス混合物は、シリコン、酸素及び少なくとも1つのハロゲンを含む、工程と、
    前記プラズマプロセスシステム内に第2プロセスガス混合物を流す工程であり、前記第2プロセスガス混合物は、ハロゲン含有ガス及びフルオロカーボンガスを含む、工程と、
    前記シリコン表面が前記パターン化されたマスク層を介してプラズマへ暴露されるように、前記第1プロセスガス混合物及び前記第2プロセスガス混合物からプラズマを形成する工程と、
    前記プラズマ及び前記第1プロセスガス混合物からの生成物を用いて、前記基板内の1つ以上のシリコンフィーチャの側壁及び底面に酸化物層を形成する工程と、
    前記プラズマ及び前記第2プロセスガス混合物からの生成物を用いて、前記基板内の前記1つ以上のシリコンフィーチャをエッチングする工程と、
    前記1つ以上のシリコンフィーチャをエッチングする前記工程及び前記酸化物層を形成する前記工程のための前記第1プロセスガス混合物を流す前記工程及び前記第2プロセスガス混合物を流す前記工程において、
    優勢な流速が前記第1プロセスガス混合物と前記第2プロセスガス混合物との間で交互になるように、前記第1プロセスガス混合物及び前記第2プロセスガス混合物の各流速を勾配遷移させて変化させ、
    前記各流速が所定量を超えるように維持するとともに、各流れの量を絶えず周期的に変化させるように前記第1プロセスガス混合物及び前記第2プロセスガス混合物を流す、方法。
  2. 前記第1プロセスガス混合物は、ハロゲン含有シリコンガス及び酸素含有ガスを含む、請求項1に記載の方法。
  3. 前記ハロゲン含有シリコンガスは、SiFx、SiClx及びSiBrxから成る群から選択され、xは1以上である、請求項に記載の方法。
  4. 前記酸素含有ガスは、原子酸素、二原子酸素及びオゾンから成る群から選択される、請求項に記載の方法。
  5. 前記酸化物層を形成する工程は、1つ以上のシリコンフィーチャの側壁及び底面に酸化堆積物をコンフォーマルに堆積することを含む、請求項1に記載の方法。
  6. 前記酸化堆積物は、シリコン、酸素及び少なくとも1つのハロゲンを含む、請求項に記載の方法。
  7. 前記第2プロセスガス混合物からの前記ハロゲン含有ガスは、SF6、NF3、XeF2、塩素及び臭素から成る群から選択される、請求項1に記載の方法。
  8. 前記第2プロセスガス混合物からの前記ハロゲン含有ガスからの生成物は、前記基板内のシリコンをエッチングするように使用される、請求項に記載の方法。
  9. 前記第2プロセスガス混合物からの前記フルオロカーボンガスは、CxFyHzであり、x及びyは1以上であり、zは0以上である、請求項1に記載の方法。
  10. 前記プラズマ及び前記フルオロカーボンガスからの生成物は、前記1つ以上のシリコンフィーチャの底面上の酸化堆積物をエッチングするように使用される、請求項に記載の方法。
  11. 前記基板内の前記1つ以上のシリコンフィーチャをエッチングする工程は、約20マイクロメートルより大きいエッチング深さによって特徴づけられ、かつ、約5対1より大きい深さ対幅のアスペクト比を有するフィーチャを形成するまでエッチングすることを含む、請求項1に記載の方法。
JP2016552221A 2013-11-06 2014-10-29 ガスパルスを用いる深掘りシリコンエッチングのための方法 Active JP6175570B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/072,964 2013-11-06
US14/072,964 US9054050B2 (en) 2013-11-06 2013-11-06 Method for deep silicon etching using gas pulsing
PCT/US2014/062922 WO2015069521A1 (en) 2013-11-06 2014-10-29 Method for deep silicon etching using gas pulsing

Publications (2)

Publication Number Publication Date
JP2016537830A JP2016537830A (ja) 2016-12-01
JP6175570B2 true JP6175570B2 (ja) 2017-08-02

Family

ID=53007338

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016552221A Active JP6175570B2 (ja) 2013-11-06 2014-10-29 ガスパルスを用いる深掘りシリコンエッチングのための方法

Country Status (6)

Country Link
US (1) US9054050B2 (ja)
JP (1) JP6175570B2 (ja)
KR (1) KR101880831B1 (ja)
CN (1) CN105706216B (ja)
TW (1) TWI533376B (ja)
WO (1) WO2015069521A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US9728421B2 (en) 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
WO2017189582A1 (en) 2016-04-26 2017-11-02 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
US9793135B1 (en) * 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102405202B1 (ko) * 2016-09-21 2022-06-02 도쿄엘렉트론가부시키가이샤 교차 구조물들을 패터닝하는 방법
TWI702667B (zh) * 2017-02-13 2020-08-21 美商愛克提夫雷爾參數公司 用於薄膜之材料性質分析之方法及系統
KR102273971B1 (ko) * 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10529578B2 (en) 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110171802B (zh) * 2019-07-11 2022-02-22 江苏鲁汶仪器有限公司 一种mems的深硅刻蚀方法
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
US11380776B2 (en) 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor device with gate spacer structure
KR20230004014A (ko) 2021-06-30 2023-01-06 삼성전자주식회사 반도체 소자 제조 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612767B2 (ja) * 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
JPH0574745A (ja) * 1991-09-18 1993-03-26 Fujitsu Ltd 半導体装置の製造方法
ATE251341T1 (de) * 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6784108B1 (en) 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
JP2004087738A (ja) * 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
US20070212888A1 (en) * 2004-03-29 2007-09-13 Sumitomo Precision Products Co., Ltd. Silicon Substrate Etching Method
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
JP2007311676A (ja) * 2006-05-22 2007-11-29 Sony Corp 半導体装置とその製造方法
US7829465B2 (en) 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
US20090275202A1 (en) 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
FR2914782B1 (fr) 2007-04-04 2009-06-12 St Microelectronics Sa Procede de gravure profonde anisotrope de silicium
CN103258729B (zh) 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US9852870B2 (en) 2011-05-23 2017-12-26 Corporation For National Research Initiatives Method for the fabrication of electron field emission devices including carbon nanotube field electron emisson devices
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow

Also Published As

Publication number Publication date
US9054050B2 (en) 2015-06-09
JP2016537830A (ja) 2016-12-01
KR20160078477A (ko) 2016-07-04
CN105706216A (zh) 2016-06-22
US20150126033A1 (en) 2015-05-07
TW201535507A (zh) 2015-09-16
KR101880831B1 (ko) 2018-07-20
CN105706216B (zh) 2018-11-09
TWI533376B (zh) 2016-05-11
WO2015069521A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
US10290506B2 (en) Method for etching high-K dielectric using pulsed bias power
US10580657B2 (en) Device fabrication via pulsed plasma
US7226868B2 (en) Method of etching high aspect ratio features
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
JP2018510515A (ja) 原子層をエッチングする方法
JP2016154234A (ja) サブ10nmパターニングを実現するための材料プロセシング
US8263496B1 (en) Etching method for preparing a stepped structure
TWI514516B (zh) 保護外露式低k表面的方法
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
KR20090067146A (ko) 하프늄 함유 재료를 건식 에칭하기 위한 방법 및 시스템
WO2013046050A2 (en) Dry cleaning method for recovering etch process condition
US20150376797A1 (en) Anisotropic etch of copper using passivation
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TWI488235B (zh) 全金屬閘極結構之圖案成形方法
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
KR102419532B1 (ko) 질화물 에칭을 위한 표면 보수 방법
TWI719257B (zh) 用於自對準多重圖案化技術之間隔件形成
TW201937593A (zh) 電漿蝕刻方法及電漿蝕刻裝置
TWI797379B (zh) 表面改性處理
JP2021118304A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170704

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170710

R150 Certificate of patent or registration of utility model

Ref document number: 6175570

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250