KR101880831B1 - 가스 펄싱을 사용하는 딥 실리콘 에칭 방법 - Google Patents

가스 펄싱을 사용하는 딥 실리콘 에칭 방법 Download PDF

Info

Publication number
KR101880831B1
KR101880831B1 KR1020167014336A KR20167014336A KR101880831B1 KR 101880831 B1 KR101880831 B1 KR 101880831B1 KR 1020167014336 A KR1020167014336 A KR 1020167014336A KR 20167014336 A KR20167014336 A KR 20167014336A KR 101880831 B1 KR101880831 B1 KR 101880831B1
Authority
KR
South Korea
Prior art keywords
gas mixture
silicon
process gas
substrate
plasma
Prior art date
Application number
KR1020167014336A
Other languages
English (en)
Other versions
KR20160078477A (ko
Inventor
스캇 더블유. 르페브르
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160078477A publication Critical patent/KR20160078477A/ko
Application granted granted Critical
Publication of KR101880831B1 publication Critical patent/KR101880831B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

본원에 개시되는 기술들은 상대적으로 매끄러운 프로파일을 갖는 높은 애스팩트비 피처들을 에칭하는 연속적인 가스 펄싱 프로세스를 사용하여 딥 실리콘 피처들을 에칭하기 위한 방법들을 포함한다. 그러한 방법들은 시간 다중화 에칭 퇴적 프로세스들보다 빠른 에칭비를 제공한다. 기술들은 교호적인 화학물들의 주기적인 가스 펄싱 프로세스를 포함하는 연속적인 프로세스를 사용하는 것을 포함한다. 하나의 프로세스 가스 혼합물은 할로겐 함유 실리콘 가스 및 산화물층을 형성하는 산소를 포함한다. 제 2 프로세스 가스 혼합물은 할로겐 함유 가스, 및 산화물 및 실리콘을 에칭하는 불화탄소 가스를 포함한다.

Description

가스 펄싱을 사용하는 딥 실리콘 에칭 방법{METHOD FOR DEEP SILICON ETCHING USING GAS PULSING}
본 발명은 실리콘 내의 피처들을 에칭하는 단계를 포함하는, 반도체 기판 내의 피처들을 에칭하기 위한 방법들에 관한 것이다.
반도체 산업에 있어서의 집적 회로(integrated circuit; IC)들의 제조는 일반적으로 기판으로부터 재료를 제거 - 또한 기판에 재료를 퇴적 - 하는데 사용되는 표면 화학물을 지원하는 플라즈마를 생성하기 위한 플라즈마 반응기(reactor)를 사용하는 것을 포함한다. 건식 플라즈마 에칭 프로세스들은 반도체 기판 상에 패터닝되는 미세한 선들을 따라 또는 비아들 내의 또는 접촉부들에서의 재료를 제거하거나 또는 에칭하는데 통상적으로 사용된다. 성공적인 플라즈마 에칭 프로세스는 하나의 재료를 선택적으로 에칭하면서 다른 하나의 재료를 실질적으로 에칭하지 않기 위해 적절한 화학 반응제(reactant)들을 포함하는 에칭 화학물을 필요로 한다.
예를 들어, 반도체 기판 상에서, 보호층 내에 형성되는 패턴은 플라즈마 에칭 프로세스를 사용하면 선택된 재료의 기저층까지 전사될 수 있다. 보호층은 리소그래피 프로세스를 사용하여 형성되는 패턴을 갖는 포토레지스트층과 같은 감광(light-sensitive)층을 포함할 수 있다. 패턴이 형성되면, 반도체 기판이 플라즈마 프로세싱 챔버 내에 배치되고, 기저층을 선택적으로 에칭하면서 보호층을 최소한으로 에칭하는 에칭 화학물이 형성된다. 이러한 에칭 화학물은 기저층과 반응하면서 보호 또는 패터닝층과 최소한으로 반응하는 분자 성분(molecular constituent)들을 포함하는 모분자(parent molecule)들을 갖는 이온화가능(ionizable), 해리성(dissociative) 가스 혼합물을 도입함으로써 생성된다. 에칭 화학물의 생성은 존재하는 가스 종(species) 중 일부가 에너지 전자와의 충돌에 따라 이온화될 때, 가스 혼합물의 도입 및 플라즈마의 형성을 포함한다. 가열된 전자들은 가스 혼합물 중 몇몇 종을 해리하고 (모분자들의) 화학 성분들의 반응성 혼합물을 생성한다.
이온화된 가스 종 및 화학 성분들의 반응성 혼합물이 제공되면, 다양한 피처들(예를 들어, 트렌치들, 비아들, 접촉부들 등)이 반도체 기판의 노출된 영역들 내에 에칭될 수 있다. 에칭되는 일반적인 재료들은, 예를 들어 실리콘 산화물(silicon oxide; SiOx), 실리콘 질화물(silicon nitride; SiNy), 폴리 결정질 실리콘(poly-crystalline silicon; polysilicon), 모노 결정질 실리콘(silicon), 및 도핑된 그리고 도핑되지 않은 실리콘을 포함한다.
보쉬(Bosch) 프로세스(에칭-퇴적-에칭-퇴적)와 같은 시간 다중화(Time-multiplexed) 에칭 프로세스들은 스루 실리콘 비아(through-silicon vias; TSV)들과 같은 높은 애스팩트비(aspect ratio) 피처들을 에칭하는데 사용되어 왔다. 시간 다중화 프로세스에서, 피처가 작은 깊이로 에칭되고, 이어서 에칭 가스가 중단되고 패시베이션 가스가 시작되어 에칭된 측벽들 상에 폴리머를 형성한다. 이러한 프로세스는 희망하는 깊이에 도달할 때까지 반복된다. 그러나, 시간 다중화 에칭 프로세스들의 바람직하지 않은 부작용은 교호적인(alternate) 퇴적 및 에칭 단계들의 결과로서, 주어진 에칭되는 피처의 측벽들의 스캘러핑(scalloping) 특성이다. 그러한 프로파일 비균일성은 문제들을 부풀리고, 궁극적으로 이어지는 금속화 이후에 저하된 전기적 성능을 야기할 수 있다.
시간 다중화 에칭에 대한 대안은 일반적으로 불소 및 산소 기반 화학물을 사용하여 에칭 및 패시베이션 매커니즘들을 동시에 실행하는 (연속적인) 일단계(one-step) 프로세스이다. 그러한 일단계 프로세스들은 (스캘러핑 없는) 보다 연속적인 프로파일을 생성하지만, 일단계 프로세스들은 애스팩트비 제한들(특히, 보다 작은 치수들에서) 및 깊이 제한들을 겪는다.
본원에 개시되는 기술들은, 높은 애스팩트비 피처들을 에칭하고 상대적으로 매끄러운 프로파일을 남기는, 연속적인 가스 펄싱 프로세스를 사용하여 딥(deep) 실리콘 피처들을 에칭하기 위한 방법들을 포함한다. 그러한 방법들은 보쉬 프로세스보다 빠른 에칭비를 제공하고, 언더컷이 없거나 언더컷이 거의 없는 에칭 프로파일을 제공하며, 우수한 마스크 선택비(selectivity)를 제공하는 화학물을 사용한다. 기술들은 교호적인 화학물들의 주기적인 가스 펄싱 프로세스를 포함하는 연속적인 프로세스를 사용하는 것을 포함한다.
일 실시예는 기판 상에 딥 실리콘 피처들을 에칭하기 위한 방법을 포함한다. 이러한 방법은 플라즈마 프로세싱 시스템에서 기판 홀더 상에 기판을 배치하는 것을 포함한다. 기판은 실리콘 표면을 노출시키는 개구부들을 규정하는 패터닝된 마스크층을 갖는다. 제 1 프로세스 가스 혼합물은 플라즈마 프로세싱 시스템 내에 흐른다. 이 제 1 프로세스 가스 혼합물은 실리콘, 산소, 및 적어도 하나의 할로겐을 포함한다. 제 2 프로세스 가스 혼합물은 플라즈마 프로세싱 시스템 내에 흐른다. 제 2 프로세스 가스 혼합물은 할로겐 함유 가스 및 불화탄소 가스를 포함한다. 실리콘 표면이 패터닝된 마스크층을 통해 플라즈마에 노출되도록, 제 1 프로세스 가스 혼합물로부터 및 제 2 프로세스 가스 혼합물로부터 플라즈마가 형성된다. 산화물층은 제 1 가스 혼합물 및 플라즈마로부터의 생성물들을 사용하여 기판 내의 하나 이상의 실리콘 피처들의 측벽들 및 바닥면들 상에 형성된다. 방법은 제 2 프로세스 가스 혼합물 및 플라즈마로부터의 생성물들을 사용하여 기판 내의 하나 이상의 실리콘 피처들을 에칭하는 단계를 포함한다.
전용 에칭 및 퇴적 단계들을 사용하는 시간 다중화 프로세스들과 대조적으로, 본원의 기술들은 (패시베이션 없는) 강약(strong-mild) 교호 에칭 매커니즘을 따르지만 연속적으로 에칭하는 가스 펄싱을 제공한다. 그러한 기술들은 보다 높은 에칭비를 유지할 수 있지만 잔류하는 폴리머 잔유물이 쌓이지 않는다.
물론, 명확성을 위해 본원에 설명되는 바와 같은 상이한 단계들의 논의의 순서가 나타내어진다. 일반적으로, 이러한 단계들은 임의의 적절한 순서로 수행될 수 있다. 추가적으로, 본원의 상이한 피처들, 기술들, 구성들 등 각각이 본 개시의 상이한 개소들에서 논의될 수 있지만, 개념들 각각이 서로 독립적으로 또는 서로 조합하여 실행될 수 있다는 것이 의도된다. 따라서, 본 발명은 많은 상이한 방식들로 구현되고 보여질 수 있다.
이 요약 섹션이 본 개시 또는 청구된 발명의 모든 실시예 및/또는 점증적으로 신규한 양태를 특정하지 않는다는 점에 주목하라. 대신, 이 요약은 종래의 기술들에 대해 상이한 실시예들 및 대응하는 포인트들의 신규성의 예비적 논의만을 제공한다. 본 발명 및 실시예들의 추가적인 상세사항들 및/또는 가능한 관점들에 대해, 읽는이는 아래에서 더욱 논의되는 바와 같은 본 개시의 상세한 설명 섹션 및 대응하는 도면들을 지향한다.
본 발명의 다양한 실시예들 및 그 수반되는 많은 장점들의 보다 완벽한 이해는 첨부된 도면들과 관련하여 고려되는 다음의 상세한 설명을 참조하여 쉽게 명백해질 것이다. 도면들은 실척도일 필요는 없으며, 대신 피처들, 원리들, 및 개념들을 도시하는데 역점을 둔다.
도 1a 내지 도 1b는 본원의 실시예들에 따라 에칭되는 피처의 단면도이다.
도 2a 내지 도 2c는 본원의 실시예들에 따른 연속적인 가스 펄싱 프로세스의 그래프이다.
도 3a 내지 도 3c는 다양한 에칭 기술들로부터 기인하는 단면도들이다.
도 4는 본원의 실시예들에 따른 딥 실리콘 에칭 방법의 흐름도이다.
도 5는 본원의 실시예들에 따른 플라즈마 프로세싱 시스템들의 개략적인 도면이다.
본원에 개시되는 기술들은 상대적으로 매끄러운 프로파일을 갖는 높은 애스팩트비 피처들을 에칭하는 연속적인 가스 펄싱 프로세스를 사용하여 딥 실리콘 피처들을 에칭하기 위한 방법들을 포함한다. 그러한 방법들은 보쉬 프로세스보다 빠른 에칭비를 제공하고, 언더컷이 없거나 언더컷이 거의 없는 에칭 프로파일을 제공하며, 우수한 마스크 선택비(selectivity)를 제공하는 화학물을 사용한다. 기술들은 교호적인 화학물들의 주기적인 가스 펄싱 프로세스를 포함하는 연속적인 프로세스를 사용하는 것을 포함한다.
본원의 기술들은 2개의 가스 화학물 또는 혼합물들을 사용하고, 이어서 어떤 혼합물이 지배적인 유동을 갖도록 각각의 가스 화학물 사이에서 사이클링시킨다. 따라서, 양 가스 화학물이 동시에 또한 연속적으로 흐르는 한편, 각 유동의 양은 최대와 최소 사이에서 변화한다.
도 1a 및 도 1b는 실리콘 기판(105) 내에 에칭되는 스루 실리콘 비아와 같은 피처(107)를 도시한다. 피처 형성에 사용되는 하나의 가스 화학물은 패시베이션/산화(oxidizing) 화학물(110)이다. 산화 화학물은 실리콘, 산소, (불소, 염소, 브롬 등과 같은) 적어도 하나의 할로겐을 포함한다. 예를 들어, O2는 산화물 형성을 위해 사용될 수 있다. 실리콘 및 할로겐은 SiF4, SiCl4, SiBr4 등으로부터 비롯될 수 있다. O2는 단독으로 사용될 수 있지만, 실질적으로 더 많은 시간을 취한다. 실리콘 및 할로겐 첨가물은 에칭되는 피처들의 측벽들 및 바닥면들을 얇게 라이닝하는 할로겐 함유 퇴적 산화물(135)을 형성하는데 사용된다.
사용되는 다른 가스 화학물은 에칭 화학물(120)이다. 에칭 화학물은 할로겐 함유 가스 및 불화탄소(fluorocarbon) 가스를 포함한다. 예를 들어, 할로겐 함유 가스는 SF6, NF3, XeF2, Cl, Br 등을 포함할 수 있다. 할로겐 함유 가스는 주로 실리콘 기판(105)을 에칭하기 위해 기능한다. 불화탄소 가스는 CxFy([여기서, x 및 y는 1 이상임])를 포함할 수 있다. 불화탄소 가스는 주로 비아들의 바닥면들로부터 산화물(135)을 제거함으로써 에칭 증대를 위해 사용된다. 패시베이션 화학물이 피처 표면들 상에 산화물을 형성하기 때문에, 트렌치 또는 비아를 에칭하는 것을 지속하기 위해 이 산화물이 제거될 필요가 있다.
도 2a 내지 도 2b는 프로세스 시간에 관한 각각의 가스 화학물의 전체 유동을 도시하는 그래프들이다. 도 2a는 시간의 함수로서 에칭 화학물(120)의 유동을 도시한다. 에칭 화학물이 최소 유동의 연속적인 유동을 갖고, 또한 주기적인 또는 패턴화된 증가된 유동을 갖는다는 점에 주목하라. 도 2b는 시간의 함수로서 산화 화학물(110)의 유동을 도시한다. 볼 수 있는 바와 같이, 산화 화학물(110)은 또한 증가된 유동의 반복되는 구간을 갖는 연속적인 유동의 최소 양을 갖는다. 도 2a 및 도 2b를 비교하는 것은 각각의 화학물의 증가된 또는 높은 유동의 구간들이 서로 교호한다는 것을 보여준다. 환언하면, 에칭 화학물 및 산화 화학물은 지배적인 유동을 갖는 것을 교호하는 한편, 각각 최소한의 연속적인 유동을 제공한다. 도 2c는 가스 화학물 유동들을 모두 도시하는 그래프이다. 지배적인 가스 구간들이 지배적인 유동들 사이에서 경사적인 또는 점진적인 변화를 가질 수 있다는 점에 주목하라 따라서, 각각의 단계에서 에칭이 발생하고, 경사적인 화학적 변화가 보다 매끄러운 측벽들을 양산하는 것을 도울 수 있다.
종래의 에칭 프로세스는 일반적으로 측벽들을 보호하기 위해 폴리머라이징(polymerizing)층들을 생성한다. 그러한 폴리머 패시베이션에는 일반적으로 CF2 또는 퇴적되는 유사한 재료가 제공된다. 그러나, 본원의 기술들을 이용하면, SiO2 또는 유사한 재료가 컨포멀한 산화물을 생성하는데 사용되고, 따라서 폴리머릭/패시베이팅 층 대신 산화층을 제공한다. 산화물이 퇴적되기 때문에, 본원의 기술들을 이용하면, C4F8과 같은 불화탄소가 기저 실리콘 재료 내로의 에칭이 지속될 수 있는 바닥/수평 면들 상에 퇴적되는 산화물을 제거하는데 사용된다.
몇몇 실시예들에서, 다량의 CF2를 생성하지 않는 에칭 체제(regime)가 선택될 수 있다. 대부분의 응용예들에서 CF2가 거의 필요되지 않거나 전혀 필요되지 않는다. 따라서, C4F8이 튜닝 가스로서 더 사용될 수 있다. 하나의 예시적인 화학물에 있어서, SF6이 에칭을 위해 사용되는 한편, SiF4 및 O2가 산화물 생성/패시베이션을 위해 사용된다. 임의의 CFx 이온 가스들이 튜닝을 위해 추가될 수 있다. 이러한 가스들로부터의 탄소는 피처 바닥으로부터 산화물을 제거하는데 사용될 수 있다. 따라서, 패시베이션 단계(산화 단계)에서, 실리콘 산화물과 같은(silicon oxide-like) 퇴적/변형(transformation)이 주어진 에칭되는 피처의 측부 및 바닥부 상에 발생하고, 이어서 지배적인 에칭의 단계 동안, 피처의 바닥면으로부터 산화물을 제거하는 탄소가 추가되어, 기저 실리콘을 에칭하는 것을 쉽게 한다. 패시베이션 단계는 패시번트(passivant) 가스 화학물(산화 화학물)이 지배적으로 흐를 때를 지칭하고, 이어서 에칭 단계는 에칭 가스가 패시번트 가스 화학물에 관해 지배적으로 흐를 때를 지칭한다.
본원에 개시된, 가스 펄싱 기술과 결합되는 이러한 화학물들은 종래의 기술들에 걸쳐 장점들을 제공한다. 예를 들어, 도 3a는 연속적인 일단계 에칭 프로세스로부터 기인하는 에칭된 샘플 피처(310)를 도시한다. 결과적인 프로파일이 휘어질 수 있고 이어서 종단 포인트를 향해 점점 가늘어질 수 있으며, 이는 연속적인 프로세스의 애스팩트비 제한들을 강조한다. 도 3b는 시간 다중화(보쉬) 에칭 프로세스로부터 기인하는 일반적인 프로파일을 갖는 에칭된 샘플 피처(320)를 도시한다. 보쉬 프로세스가 일단계 프로세스보다 깊게 에칭할 수 있지만, 불행하게도 이 프로파일은 스캘러핑된 프로파일로서 나타나는 거친 측벽 표면을 발전시킨다. 또한, 패시번트를 포함하는 종래의 불화탄소를 사용하는 것은 종종 피처의 최상부를 막으므로, 피처 에칭을 방해한다. 도 3c는 본원의 실시예들에 따른 에칭 프로세스를 실행하는 것으로부터 기인하는 프로파일을 갖는 에칭된 샘플 피처(330)를 도시한다. 형성되는 컨포멀 산화물은 스캘러핑을 감소시키고 매끄러운 측벽들과 높은 애스팩트비들을 갖는 에칭된 피처를 양산한다. 또한, 폴리머가 거의 내지 전혀 퇴적되지 않기 때문에, 본원의 기술들은 피처의 최상부의 막힘을 회피한다. 폴리머를 퇴적시키는 것과 대조적으로, 본원의 기술들은 표면을 산화시키고, 이러한 산화된 표면은 횡측 에칭을 방지한다. 시간 다중화 프로세스들을 이용하면, 주어진 피처의 측벽들 상의 퇴적이 실제적인 점, 즉 폴리머가 퇴적된다는 점에 주목하라. 그러나, 본원의 컨포멀 산화물은 성장되거나 또는 포화되는 것으로 간주될 수 있다.
이제 도 4를 참조하면, 흐름도는 딥 실리콘 피처를 에칭하기 위한 본원의 실시예들을 실행하는 예시적인 방법을 도시한다.
단계(410)에서, 플라즈마 프로세싱 시스템 내의 기판 홀더 상에 기판이 배치되거나 위치된다. 예를 들어, 기판은 실리콘 기판 또는 웨이퍼일 수 있다. 기판은 실리콘 표면을 노출시키는 개구부들을 규정하는 패터닝된 마스크층을 갖는다. 종래의 반도체 제조 툴들을 사용하여 레이어링(Layering) 및 패터닝이 실행될 수 있다. 패터닝된 마스크층은 하나 이상의 층들을 포함할 수 있다.
단계(420)에서, 제 1 프로세스 가스 혼합물이 기판 위의 공간 또는 플라즈마 프로세싱 영역으로와 같이, 플라즈마 프로세싱 시스템 내에 흐른다. 제 1 프로세스 가스 혼합물은 실리콘, 산소, 및 적어도 하나의 할로겐을 포함한다. 예를 들어, 제 1 프로세스 가스 혼합물은 할로겐 함유 실리콘 가스 및 산소 함유 가스를 포함한다. 할로겐 함유 실리콘 가스는 SiFx, SiClx, 및 SiBrx([여기서, x는 1 이상임])를 포함하는 몇몇 대안물들로부터 선택될 수 있다. 산소 함유 가스는 원자 산소, 이원자(diatomic) 산소, 및 오존과 같은 몇몇 선택물로부터 선택될 수 있다. 특정한 예시로서, 할로겐 함유 실리콘 가스는 SiF4, SiCS4, SiCl4 또는 할로실레인(halo-silane)일 수 있다. 할로겐 함유 실리콘 가스를 사용하는 것은 산화물을 단독으로 사용하는 것과 비교하여 산화물층을 성장시키는 것을 가속할 수 있다. 따라서, 제 1 프로세스 가스 혼합물은 할로겐이 풍부한(halogen-rich) 산화물막들 또는 산화물과 같은(oxide-like) 막들을 생성하는 SiO 퇴적 가스이다.
단계(430)에서, 제 2 프로세스 가스 혼합물이 플라즈마 프로세싱 시스템 내로 흐른다. 제 1 프로세스 가스 혼합물은 할로겐 함유 가스 및 불화탄소 가스를 포함한다. 예를 들어, 제 1 프로세스 가스 혼합물로부터의 할로겐 함유 가스는 SF6, NF3, XeF2, 염소, 및 브롬으로 이루어지는 그룹으로부터 선택될 수 있다. (이온화 후의) 제 2 프로세스 가스 혼합물로부터의 할로겐 함유 가스로부터의 생성물들은 기판 내의 실리콘을 에칭하는데 사용될 수 있다. 제 2 프로세스 가스 혼합물로부터의 불화탄소 가스는 CxFyHz([여기서, x 및 y는 1 이상이고, z는 0 이상임])일 수 있다. 불화탄소 가스 및 플라즈마로부터의 생성물들은 하나 이상의 실리콘 피처들의 바닥면들 상의 (제 1 프로세스 가스 혼합물로부터의) 퇴적 산화물을 에칭하는데 사용될 수 있다. (C4F8일 수 있는) 불화탄소 가스가 에천트들과 분리적으로 흐르지 않고, 그 대신, 폴리머 형성 가스 대신 산화 에천트로서 에천트들과 함께 흐른다는 점에 주목하라. 따라서, 제 2 프로세스 가스 혼합물은 할로겐 함유 에천트 및 산화 에천트를 포함한다.
단계(440)에서, 제 1 프로세스 가스 혼합물로부터 및 제 2 프로세스 가스 혼합물로부터 플라즈마가 형성되고, 패터닝된 마스크층을 통해 실리콘 표면이 플라즈마에 노출된다. 그러한 플라즈마 생성은 기판 위의 영역 내의 플라즈마 프로세싱 시스템 내에서 발생할 수 있다.
단계(450)에서, 제 1 가스 혼합물 및 플라즈마로부터의 생성물들을 사용하여 기판 내의 하나 이상의 실리콘 피처들의 측벽들 및 바닥면들 상에 산화물층이 형성된다. 산화물층을 형성하는 것은 하나 이상의 실리콘 피처들의 측벽들 및 바닥면들 상에 산화물층을 컨포멀하게 퇴적하거나 성장시키는 것을 포함한다. 퇴적 산화물은 실리콘, 산소, 및 적어도 하나의 할로겐을 포함한다.
단계(460)에서, 제 2 프로세스 가스 혼합물 및 플라즈마로부터의 생성물들을 사용하여 기판 내의 하나 이상의 실리콘 피처들이 에칭된다.
몇몇 실시예들에서, 지배적인 유동률이 제 1 프로세스 가스 혼합물과 제 2 프로세스 가스 혼합물 사이에서 교호하도록, 제 1 프로세스 가스 혼합물 및 제 2 프로세스 가스 혼합물의 개별적인 유동률들이 시간에 따라 변화될 수 있다. 환언하면, 제 1 프로세스 가스 혼합물 및 제 2 프로세스 가스 혼합물 모두 미리결정된 최소 유동을 갖고, (0 또는 0보다 클 수 있는) 하나의 가스의 최소 유동이 발생하는 동안, 다른 가스의 유동은 상대적으로 증가되거나 보다 큰 유동에 있다. 개별적인 유동률들을 변화시키는 것은 도 2c에 도시된 것과 같은 유동률들 사이의 경사적인 트랜지션을 갖는 것을 포함할 수 있다. 제 1 프로세스 가스 혼합물을 흐르게 하는 것과 제 2 프로세스 가스 혼합물을 흐르게 하는 것은, 하나 이상의 실리콘 피처들을 에칭하는 단계 동안에 각 가스 혼합물의 최소의 연속적인 유동이 존재하도록, 개별적인 유동률들을 미리결정된 양보다 높게 유지시키는 것을 포함할 수 있다. 각 가스 혼합물의 듀티 사이클은 등가이거나 상이할 수 있다. 예를 들어, 화학물 에칭 시간은 화학물 산화 시간보다 길게 지속될 수 있고, 이 에칭 과중(etch-heavy) 펄싱은 보다 깊은 에칭 길이들과 같은 몇몇 장점들을 가질 수 있으므로, 특정 에칭 응용예들을 위해 선택될 수 있다.
기판 내에 하나 이상의 실리콘 피처들을 에칭하는 것은 약 20 미크론보다 큰 에칭 깊이에 의해 특징되는, 형성된 피처들을 갖고, 약 5 내지 1보다 큰, 폭에 대한 깊이의 애스팩트비를 가질 때까지 에칭 프로세스를 지속하는 것을 포함할 수 있다.
퇴적 및 에칭의 프로세스 단계들을 위해 다양한 상이한 종래의 플라즈마 프로세싱 시스템들이 사용될 수 있다. 위에서 설명된 다양한 실시예들에 따른 스페이서 에칭 프로세스 시퀀스를 수행하기 위한 방법들 중 하나 이상은 다양한 종래의 플라즈마 프로세싱 시스템들 중 임의의 하나로 수행될 수 있다. 도 5는 하나의 예시적인 플라즈마 프로세싱 시스템(500)을 도시한다. 플라즈마 프로세싱 시스템(500)은 위에서 확인된 프로세스 조건을 수행하도록 구성되고, 플라즈마 프로세싱 챔버(510), 그 위에 프로세싱될 기판(525)이 고정되는 기판 홀더(520), 및 진공 펌핑 시스템(550)을 포함한다. 기판(525)은 반도체 기판, 웨이퍼, 평면 패널 디스플레이, 또는 액정 디스플레이일 수 있다. 플라즈마 프로세싱 챔버(510)는 기판(525)의 표면 부근 내의 플라즈마 프로세싱 영역(545) 내의 플라즈마의 생성을 용이하게 하도록 구성된다. 이온화가능 가스 또는 프로세스 가스들의 혼합물이 가스 분배 시스템(540)을 통해 도입된다. 프로세스 가스의 주어진 유동에 대해, 진공 펌핑 시스템(550)을 사용하여 프로세스 압력이 조절된다. 플라즈마는 미리결정된 재료 프로세스에 특유한 재료를 생성하도록 및/또는 기판(525)의 노출된 표면으로부터의 재료의 제거를 지원하도록 이용될 수 있다. 플라즈마 프로세싱 시스템(500)은 200 mm 기판들, 300 mm 기판들, 450 mm 또는 그 이상과 같은, 임의의 희망하는 사이즈의 기판들을 프로세싱하도록 구성될 수 있다.
기판(525)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템[예를 들어, 정전(electrostatic) 클램핑 시스템]과 같은 클램핑 시스템(528)을 통해 기판 홀더(520)에 고정될 수 있다. 또한, 기판 홀더(520)는 기판 홀더(520) 및 기판(525)의 온도를 조절하고/조절하거나 제어하도록 구성되는 가열 시스템(도시 생략) 또는 냉각 시스템(도시 생략)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 냉각시에 기판 홀더(520)로부터 열을 전달받아 열 교환기 시스템(도시 생략)에 열을 전달하거나, 가열시에 열 교환기 시스템으로부터의 열을 기판 홀더(520)에 전달하는 열 전달 유체의 재순환(re-circulating) 유동을 포함할 수 있다. 다른 실시예들에서, 저항성 가열 엘리먼트들, 또는 열전기(thermo-electric) 히터들/쿨러들과 같은 가열/냉각 엘리먼트들은 플라즈마 프로세싱 챔버(510)의 챔버 벽 및 플라즈마 프로세싱 시스템(500) 내의 임의의 다른 컴포넌트뿐만 아니라, 기판 홀더(520) 내에 포함될 수 있다.
추가적으로, 열 전달 가스는 기판(525)과 기판 홀더(520) 사이의 가스-갭(gas-gap) 열컨덕턴스를 향상시키도록, 후면 가스 공급 시스템(526)을 통해 기판(525)의 후면에 전달될 수 있다. 그러한 시스템은 상승된 또는 감소된 온도로 기판의 온도 제어가 필요될 때 이용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 2구역(two-zone) 가스 분배 시스템을 포함할 수 있고, 기판(525)의 중앙과 가장자리 사이에서 헬륨 가스-갭 압력이 독립적으로 변화될 수 있다.
기판 홀더(520)는 이를 통해 RF 파워가 플라즈마 프로세싱 영역(545) 내의 프로세싱 플라즈마와 결합되는 전극(522)을 포함할 수 있다. 예를 들어, 기판 홀더(520)는 RF 생성기(530)로부터 선택적인 임피던스 매칭 네트워크(532)를 통한 기판 홀더(520)로의 RF 파워의 전송을 통해 RF 전압으로 전기적으로 바이어싱될 수 있다. 전기적 RF 바이어싱은 플라즈마를 형성하고 유지하도록 전자들을 가열하는 역할을 할 수 있다. 이러한 구성으로, 시스템은 반응성 이온 에칭(reactive ion etch; RIE) 반응기로서 동작할 수 있고, 챔버 및 상단 가스 주입 전극은 접지면들로서 역할한다. RF 바이어싱을 위한 일반적인 주파수는 약 0.1 MHz 내지 약 100 MHz 범위일 수 있다. 플라즈마 프로세싱을 위한 RF 시스템들은 당업자들에게 알려져 있다.
또한, RF 전압에서의 전극(522)의 전기적 바이어싱은 펄스 바이어싱 신호 제어기(531)를 사용하여 펄싱될 수 있다. RF 생성기(530)로부터의 RF 파워 출력은, 예를 들어 오프 상태와 온 상태 사이에서 펄싱될 수 있다. 대안적으로, RF 파워는 다중 주파수들에서 기판 홀더 전극에 인가된다. 또한, 임피던스 매칭 네트워크(532)는 반사되는 파워를 감소시킴으로써 플라즈마 프로세싱 챔버(510) 내의 플라즈마로의 RF 파워의 전달을 향상시킬 수 있다. 매칭 네트워크 토폴로지들(예를 들어, L 타입, 타입, T 타입 등) 및 자동 제어 방법들은 당업자들에게 알려져 있다.
가스 분배 시스템(540)은 프로세스 가스들의 혼합물을 도입하기 위한 샤워헤드(showerhead) 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(540)은 프로세스 가스들의 혼합물을 도입하고 프로세스 가스들의 혼합물의 기판(525) 위로의 분배를 조절하기 위한 다구역(multi-zone) 샤워헤드 설계를 포함할 수 있다. 예를 들어, 다구역 샤워헤드 설계는 프로세스 가스 유동 또는 프로세스 가스 유동의 양에 관한 기판(525) 위의 실질적인 주변 영역에 대한 조성 또는 기판(525) 위의 실질적인 중앙 영역에 대한 조성을 조절하도록 구성될 수 있다.
진공 펌핑 시스템(550)은 초당 약 5000 리터까지 펌핑 속도를 올릴 수 있는 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 스로틀링(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭을 위해 이용되는 종래의 플라즈마 프로세싱 디바이스들에서, 초당 1000 내지 3000 리터의 TMP가 이용될 수 있다. TMP들은 일반적으로 약 50 m토르(Torr)보다 낮은, 저압력 프로세싱을 위해 유용하다. 고압력 프로세싱(즉, 약 100 m토르보다 높음)을 위해, 기계적 부스터 펌프 및 러핑 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 디바이스(도시 생략)가 플라즈마 프로세싱 챔버(510)와 결합될 수 있다.
컨트롤러(555)는 마이크로프로세서, 메모리, 및 플라즈마 프로세싱 시스템(500)으로부터의 출력들을 모니터링하는 것 뿐만 아니라 플라즈마 프로세싱 시스템(500)으로의 입력들을 전달하고 활성화시키기에 충분한 제어 전압들을 생성할 수 있는 디지털 I/O 포트를 포함한다. 또한, 컨트롤러(555)는 기판 가열/냉각 시스템(도시 생략), 후면 가스 공급 시스템(526), 및/또는 정전 클램핑 시스템(528)뿐만 아니라, RF 생성기(530), 펄스 바이어싱 신호 컨트롤러(531), 임피던스 매칭 네트워크(532), 가스 분배 시스템(540), 진공 펌핑 시스템(550)과 결합될 수 있고 이들과 정보를 교환할 수 있다. 예를 들어, 메모리 내에 저장되는 프로그램은 기판(525) 상에, 플라즈마 에칭 프로세스와 같은 플라즈마 지원 프로세스를 수행하도록, 프로세스 레시피에 따른 플라즈마 프로세싱 시스템(500)의 전술한 컴포넌트들로의 입력들을 활성화하는데 이용될 수 있다.
컨트롤러(555)는 플라즈마 프로세싱 시스템(500)에 관해 로컬로 위치될 수 있거나, 또는 플라즈마 프로세싱 시스템(500)에 관해 원격으로 위치될 수 있다. 예를 들어, 컨트롤러(555)는 직접 연결, 인트라넷, 및/또는 인터넷을 사용하여 플라즈마 프로세싱 시스템(500)과 테이터를 교환할 수 있다. 컨트롤러(555)는, 예를 들어 고객 사이트(즉, 디바이스 제작사 등)에서 인트라넷과 결합될 수 있거나, 또는 예를 들어 판매자 사이트(즉, 장비 제조사)에서 인트라넷과 결합될 수 있다. 대안적으로 또는 추가적으로, 컨트롤러(555)는 인터넷과 결합될 수 있다. 또한, 또 다른 컴퓨터(즉, 컨트롤러, 서버 등)는 직접 연결, 인트라넷, 및/또는 인터넷을 통해 데이터를 교환하기 위해 컨트롤러(555)에 액세스할 수 있다.
플라즈마 프로세싱 시스템(500)은 플라즈마 밀도를 잠재적으로 증가시키거나 및/또는 플라즈마 프로세싱 균일성을 향상시키기 위해 기계적으로 고정하거나 또는 전기적으로 회전시키는 자계 시스템(도시 생략)을 더 포함할 수 있다. 또한, 컨트롤러(555)는 회전의 속도 및 자계 강도를 조정하도록 자계 시스템과 결합될 수 있다. 회전 자계의 설계 및 구현은 당업자들에게 잘 알려져 있다.
플라즈마 프로세싱 시스템(500)은 RF 파워가 RF 생성기(572)로부터 선택적인 임피던스 매칭 네트워크(574)를 통해 커플링될 수 있는 상단 전극(570)을 더 포함할 수 있다. 상단 전극으로의 RF 파워의 적용을 위한 주파수는 약 0.1 MHz 내지 약 200 MHz 범위일 수 있다. 추가적으로, 하단 전극으로의 파워의 적용을 위한 주파수는 약 0.1 MHz 내지 약 100 MHz 범위일 수 있다. 또한, 컨트롤러(555)는 상단 전극(570)으로의 RF 파워의 적용을 제어하도록 RF 생성기(572) 및 임피던스 매칭 네트워크(574)와 결합된다. 상단 전극의 설계 및 구현은 당업자들에게 알려져 있다. 상단 전극(570) 및 가스 분배 시스템(540)은 도시된 바와 같이 동일한 챔버 어셈블리 내에 설계될 수 있다. 대안적으로, 상단 전극(570)은 기판(525) 위의 플라즈마와 결합되는 RF 파워 분배를 조절하기 위한 다구역 전극 설계를 포함할 수 있다. 예를 들어, 상단 전극(570)은 중앙 전극 및 가장자리 전극으로 분할될 수 있다.
플라즈마 프로세싱 시스템(500)은 기판(525)에 대향하는 상단 전극(570)과 결합되는 직류(direct current; DC) 파워 서플라이(550)를 더 포함할 수 있다. 상단 전극(570)은 전극판을 포함할 수 있다. 전극판은 실리콘 함유 전극판을 포함할 수 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수 있다. DC 파워 서플라이(550)는 가변적인 DC 파워 서플라이를 포함할 수 있다. 추가적으로, DC 파워 서플라이(550)는 바이폴라 DC 파워 서플라이를 포함할 수 있다. DC 파워 서플라이(550)는 DC 파워 서플라이(550)의 극성, 전류, 전압, 또는 온/오프 상태를 모니터링하거나, 조절하거나, 또는 제어하는 것 중 적어도 하나를 수행하도록 구성되는 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 파워 서플라이(550)는 탄도 전자빔의 형성을 용이하게 한다. 전자 필터(도시 생략)는 DC 파워 서플라이(550)로부터 RF 파워를 디커플링(de-couple)하는데 이용될 수 있다.
예를 들어, DC 파워 서플라이(550)에 의해 상단 전극(570)에 인가되는 DC 전압은 대략 -2000 볼트(V) 내지 대략 1000 V 범위일 수 있다. 바람직하게, DC 전압의 절대값은 대략 100 V와 같거나 100V보다 큰 값을 갖고, 보다 바람직하게, DC 전압의 절대값은 대략 500 V와 같거나 500 V보다 큰 값을 갖는다. 추가적으로, DC 전압이 음의 극성을 갖는 것이 바람직하다. 또한, DC 전압이 상부 전극(570)의 표면 상에 생성되는 자가 바이어싱(self-bias) 전압보다 큰 절대값을 갖는 음의 전압인 것이 바람직하다. 기판 홀더(520)를 향하는 상단 전극(570)의 표면은 실리콘 함유 재료로 이루어질 수 있다.
다른 타입들의 알려진 플라즈마 프로세싱 시스템들이 또한 본원에 개시된 기술들을 실행하는데 사용될 수 있다. 예를 들어, 교호 플라즈마 프로세싱 시스템들(도시 생략)은 RF 파워가 RF 생성기를 통하고 선택적인 임피던스 매칭 네트워크를 통해 커플링되는 유도성 코일을 더 포함한다. RF 파워는 유도성 코일로부터 유전체 윈도우를 통해 플라즈마 프로세싱 영역에 유도적으로 커플링된다. 유도성 코일로의 RF 파워의 적용을 위한 주파수는 약 10 MHz 내지 약 100 MHz 범위일 수 있다. 유사하게, 척(chuck) 전극으로의 파워의 적용을 위한 주파수는 약 0.1 MHz 내지 약 100 MHz 범위일 수 있다. 또한, 플라즈마 프로세싱 영역 내의 유도성 코일과 플라즈마 사이의 용량성 커플링을 감소시키도록 슬롯형 패러데이 쉴드(slotted Faraday shield)가 이용될 수 있다. 또한, 유도성 코일로의 파워의 적용을 제어하도록 컨트롤러가 RF 생성기 및 임피던스 매칭 네트워크와 결합될 수 있다.
대안적인 실시예에서, 플라즈마 프로세싱 시스템은 위로부터 트랜스포머 결합형 플라즈마(transformer-coupled plasma; TCP) 반응기 내로와 같이 플라즈마 프로세싱 영역과 통하는 "나선형(spiral)" 코일 또는 "팬케이크형(pancake)" 코일인 유도성 코일을 포함할 수 있다. 유도 결합형 플라즈마(inductively coupled plasma; ICP) 소스 또는 트랜스포머 결합형 플라즈마(TCP) 소스의 설계 및 구현은 당업자들에게 잘 알려져 있다. 대안적으로, 플라즈마는 전자 사이클론 공명(electron cyclotron resonance; ECR)을 사용하여 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 헬리콘파(Helicon wave)의 론칭으로부터 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 표면파 전파(propagating surface wave)로부터 형성될 수 있다. 다른 플라즈마 프로세싱 시스템들은 도 5의 실시예와 유사할 수 있고, 표면파 플라즈마(surface wave plasma; SWP) 소스를 더 포함할 수 있다. SWP 소스는 마이크로파 파워가 파워 결합 시스템을 통해 결합되는 방사 라인 슬롯 안테나(radial line slot antenna; RLSA)와 같은 슬롯 안테나를 포함할 수 있다. 위에서 설명된 각각의 플라즈마 소스는 당업자들에게 잘 알려져 있다.
이전의 설명에서, 프로세싱 시스템의 특정 기하학 및 그 내부에서 사용되는 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정 상세사항들이 제시되었다. 그러나, 본원의 기술들이 이 특정 상세사항들로부터 벗어난 다른 실시예들에서 실시될 수 있고, 그러한 상세사항들이 설명의 목적을 위한 것이며 제한적이지 않다는 점이 이해되어야 한다. 본원에 개시된 실시예들은 첨부된 도면들을 참조하여 설명되었다. 유사하게, 설명의 목적을 위해, 특정 숫자들, 재료들, 및 구성들이 철저한 이해를 제공하도록 제시되었다. 그럼에도 불구하고, 실시예들은 그러한 특정 상세사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구조를 갖는 컴포넌트들은 동일한 참조 특성들에 의해 나타내어지고, 따라서 임의의 불필요한 설명들이 생략될 수 있다.
다양한 실시예들을 이해하는 것을 지원하도록 다양한 기술들이 다수의 개별적 동작들로 설명되었다. 설명의 순서는 이러한 동작들이 필수적인 종속적 순서임을 암시하는 것으로 해석되지 않아야 한다. 실제로, 이러한 동작들은 제시의 순서대로 수행될 필요가 없다. 설명된 동작들은 설명된 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명된 동작들이 추가적인 실시예들에서 생략될 수 있다.
본원에서 사용되는 바와 같은 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 프로세싱되는 객체를 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 재료 부분 또는 구조를 포함할 수 있고, 예를 들어 반도체 웨이퍼와 같은 기본 기판 구조, 또는 박막과 같은, 기본 기판 구조 상의 또는 기본 기판 구조 위에 있는 층일 수 있다. 따라서, 기판은 임의의 특정한 기본 구조물, 아래에 있는 층 또는 위에 있는 층, 패터닝되거나 또는 패터닝되지 않은 것에 제한되지 않고, 이보다는, 임의의 그러한 층 또는 기본 구조물, 및 층들 및/또는 기본 구조물들의 임의의 조합을 포함하는 것으로 고려된다. 설명은 특정한 타입들의 기판들을 참조할 수 있지만, 이는 예시적인 목적만을 위한 것이다.
당업자는 또한 위에서 설명된 기술들의 동작들에 대해 이루어지는 한편, 여전히 본 발명의 동일한 목표를 달성하는 많은 변형예들이 있을 수 있다는 점을 이해할 것이다. 그러한 변형예들은 본 개시의 범위에 의해 커버되도록 의도된다. 그와 같은, 본 발명의 실시예들의 이전의 설명들은 제한적으로 의도되지 않는다. 이보다는, 본 발명의 실시예들의 임의의 제한들은 다음의 청구항들에 나타내어진다.

Claims (14)

  1. 기판 상에 딥(deep) 실리콘 피처들을 에칭하기 위한 방법에 있어서,
    플라즈마 프로세싱 시스템 내의 기판 홀더 상에 기판 - 상기 기판은 실리콘 표면을 노출시키는 개구부들을 규정하는 패터닝된 마스크층을 가짐 - 을 배치하는 단계;
    제 1 프로세스 가스 혼합물 - 상기 제 1 프로세스 가스 혼합물은 실리콘, 산소, 및 적어도 하나의 할로겐을 포함함 - 을 상기 플라즈마 프로세싱 시스템 내에 흐르게 하는 단계;
    제 2 프로세스 가스 혼합물 - 상기 제 2 프로세스 가스 혼합물은 할로겐 함유 가스 및 불화탄소(fluorocarbon) 가스를 포함함 - 을 상기 플라즈마 프로세싱 시스템 내에 흐르게 하는 단계;
    상기 실리콘 표면이 상기 패터닝된 마스크층을 통해 플라즈마에 노출되도록, 상기 제 1 프로세스 가스 혼합물 및 상기 제 2 프로세스 가스 혼합물로부터 상기 플라즈마를 형성하는 단계;
    상기 제 1 프로세스 가스 혼합물 및 상기 플라즈마로부터의 생성물들을 사용하여 상기 기판 내의 하나 이상의 실리콘 피처들의 측벽들 및 바닥면들 상에 산화물층을 형성하는 단계;
    상기 제 2 프로세스 가스 혼합물 및 상기 플라즈마로부터의 생성물들을 사용하여 상기 기판 내의 하나 이상의 실리콘 피처들을 에칭하는 단계; 및
    지배적인 유동률(flow rate)이 상기 제 1 프로세스 가스 혼합물과 상기 제 2 프로세스 가스 혼합물 사이에서 교호(alternate)하도록, 상기 제 1 프로세스 가스 혼합물 및 상기 제 2 프로세스 가스 혼합물의 개별적인 유동률들을 시간에 따라 변화시키는 단계를 포함하고,
    상기 개별적인 유동률들을 변화시키는 단계는 유동률들 사이의 경사적인 트랜지션(gradient transition)을 포함하고,
    상기 제 1 프로세스 가스 혼합물을 흐르게 하는 단계 및 상기 제 2 프로세스 가스 혼합물을 흐르게 하는 단계는, 상기 하나 이상의 실리콘 피처들을 에칭하는 단계 동안에 각 가스 혼합물의 최소한의 연속적인 유동이 존재하도록, 개별적인 유동률들을 미리결정된 양보다 높게 유지시키는 단계를 포함하는 것인 피처 에칭 방법.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 제 1 항에 있어서, 상기 제 1 프로세스 가스 혼합물은 할로겐 함유 실리콘 가스 및 산소 함유 가스를 포함하는 것인 피처 에칭 방법.
  6. 제 5 항에 있어서, 상기 할로겐 함유 실리콘 가스는, SiFx, SiClx, 및 SiBrx ([여기서, x는 1 이상임])로 이루어지는 그룹으로부터 선택되는 것인 피처 에칭 방법.
  7. 제 5 항에 있어서, 상기 산소 함유 가스는 원자(atomic) 산소, 이원자(diatomic) 산소, 및 오존으로 이루어지는 그룹으로부터 선택되는 것인 피처 에칭 방법.
  8. 제 1 항에 있어서, 상기 산화물층을 형성하는 단계는, 하나 이상의 실리콘 피처들의 측벽들 및 바닥면들 상에 퇴적 산화물을 컨포멀하게(conformally) 퇴적시키는 단계를 포함하는 것인 피처 에칭 방법.
  9. 제 8 항에 있어서, 상기 퇴적 산화물은 실리콘, 산소, 및 적어도 하나의 할로겐을 포함하는 것인 피처 에칭 방법.
  10. 제 1 항에 있어서, 상기 제 2 프로세스 가스 혼합물로부터의 상기 할로겐 함유 가스는 SF6, NF3, XeF2, 염소, 및 브롬으로 이루어지는 그룹으로부터 선택되는 것인 피처 에칭 방법.
  11. 제 10 항에 있어서, 상기 제 2 프로세스 가스 혼합물로부터의 상기 할로겐 함유 가스로부터의 생성물들은 상기 기판 내의 실리콘을 에칭하는데 사용되는 것인 피처 에칭 방법.
  12. 제 1 항에 있어서, 상기 제 2 프로세스 가스 혼합물로부터의 상기 불화탄소 가스는 CxFyHz([여기서, x 및 y는 1 이상이며, z는 0 이상임])인 것인 피처 에칭 방법.
  13. 제 12 항에 있어서, 상기 불화탄소 가스 및 상기 플라즈마로부터의 생성물들은, 상기 하나 이상의 실리콘 피처들의 바닥면들 상의 퇴적 산화물을 에칭하는데 사용되는 것인 피처 에칭 방법.
  14. 제 1 항에 있어서, 상기 기판 내의 상기 하나 이상의 실리콘 피처들을 에칭하는 단계는, 20 미크론보다 큰 에칭 깊이에 의해 특징지워지고, 5 내지 1보다 큰, 폭에 대한 깊이의 애스팩트비(aspect ratio)를 갖는 피처들을 형성할 때까지 에칭하는 단계를 포함하는 것인 피처 에칭 방법.
KR1020167014336A 2013-11-06 2014-10-29 가스 펄싱을 사용하는 딥 실리콘 에칭 방법 KR101880831B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/072,964 US9054050B2 (en) 2013-11-06 2013-11-06 Method for deep silicon etching using gas pulsing
US14/072,964 2013-11-06
PCT/US2014/062922 WO2015069521A1 (en) 2013-11-06 2014-10-29 Method for deep silicon etching using gas pulsing

Publications (2)

Publication Number Publication Date
KR20160078477A KR20160078477A (ko) 2016-07-04
KR101880831B1 true KR101880831B1 (ko) 2018-07-20

Family

ID=53007338

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167014336A KR101880831B1 (ko) 2013-11-06 2014-10-29 가스 펄싱을 사용하는 딥 실리콘 에칭 방법

Country Status (6)

Country Link
US (1) US9054050B2 (ko)
JP (1) JP6175570B2 (ko)
KR (1) KR101880831B1 (ko)
CN (1) CN105706216B (ko)
TW (1) TWI533376B (ko)
WO (1) WO2015069521A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
US9728421B2 (en) 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
US10790203B2 (en) 2016-04-26 2020-09-29 Active Layer Parametrics, Inc. Methods and systems for material property profiling of thin films
US11289386B2 (en) 2016-04-26 2022-03-29 Active Layer Parametrics, Inc. Methods and apparatus for test pattern forming and film property measurement
US9793135B1 (en) * 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102405202B1 (ko) * 2016-09-21 2022-06-02 도쿄엘렉트론가부시키가이샤 교차 구조물들을 패터닝하는 방법
TWI702667B (zh) * 2017-02-13 2020-08-21 美商愛克提夫雷爾參數公司 用於薄膜之材料性質分析之方法及系統
KR102273971B1 (ko) * 2017-10-20 2021-07-07 주식회사 엘지화학 파라데이 상자를 이용한 플라즈마 식각 방법
US10529578B2 (en) * 2017-11-12 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor structure
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110171802B (zh) * 2019-07-11 2022-02-22 江苏鲁汶仪器有限公司 一种mems的深硅刻蚀方法
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
US11380776B2 (en) * 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor device with gate spacer structure
KR20230004014A (ko) 2021-06-30 2023-01-06 삼성전자주식회사 반도체 소자 제조 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60154622A (ja) * 1984-01-25 1985-08-14 Hitachi Ltd 溝およびそのエッチング方法
JP2004119994A (ja) * 1996-08-01 2004-04-15 Surface Technology System Plc 半導体基板の表面処理方法
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
KR20070112709A (ko) * 2006-05-22 2007-11-27 소니 가부시끼 가이샤 반도체 장치와 그 제조 방법
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
KR20110074755A (ko) * 2008-10-23 2011-07-01 램 리써치 코포레이션 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭
WO2013128181A1 (en) * 2012-02-29 2013-09-06 Oxford Instruments Nanotechnology Tools Limited Methods and apparatus for depositing and/or etching material on a substrate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0574745A (ja) * 1991-09-18 1993-03-26 Fujitsu Ltd 半導体装置の製造方法
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6784108B1 (en) 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
JP2004087738A (ja) 2002-08-26 2004-03-18 Tokyo Electron Ltd Siエッチング方法
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20070212888A1 (en) * 2004-03-29 2007-09-13 Sumitomo Precision Products Co., Ltd. Silicon Substrate Etching Method
US7829465B2 (en) 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
EP2077577A4 (en) 2006-11-22 2010-03-24 Sumitomo Precision Prod Co SILICON STRUCTURE HAVING A HIGH SIDE OPENING, METHOD FOR PRODUCING THE SILICON STRUCTURE, A SILICON STRUCTURE MANUFACTURING DEVICE, A SILICON STRUCTURE PRODUCTION PROGRAM, AND METHOD FOR PRODUCING A SILICONE STRUCTURE METAL MASK
FR2914782B1 (fr) 2007-04-04 2009-06-12 St Microelectronics Sa Procede de gravure profonde anisotrope de silicium
JP5710267B2 (ja) 2007-12-21 2015-04-30 ラム リサーチ コーポレーションLam Research Corporation シリコン構造体の製造及びプロファイル制御を伴うシリコンディープエッチング
US9852870B2 (en) 2011-05-23 2017-12-26 Corporation For National Research Initiatives Method for the fabrication of electron field emission devices including carbon nanotube field electron emisson devices

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60154622A (ja) * 1984-01-25 1985-08-14 Hitachi Ltd 溝およびそのエッチング方法
JP2004119994A (ja) * 1996-08-01 2004-04-15 Surface Technology System Plc 半導体基板の表面処理方法
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
KR20070112709A (ko) * 2006-05-22 2007-11-27 소니 가부시끼 가이샤 반도체 장치와 그 제조 방법
KR20110074755A (ko) * 2008-10-23 2011-07-01 램 리써치 코포레이션 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭
WO2013128181A1 (en) * 2012-02-29 2013-09-06 Oxford Instruments Nanotechnology Tools Limited Methods and apparatus for depositing and/or etching material on a substrate

Also Published As

Publication number Publication date
CN105706216A (zh) 2016-06-22
WO2015069521A1 (en) 2015-05-14
US20150126033A1 (en) 2015-05-07
KR20160078477A (ko) 2016-07-04
JP2016537830A (ja) 2016-12-01
CN105706216B (zh) 2018-11-09
TW201535507A (zh) 2015-09-16
US9054050B2 (en) 2015-06-09
TWI533376B (zh) 2016-05-11
JP6175570B2 (ja) 2017-08-02

Similar Documents

Publication Publication Date Title
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
US7226868B2 (en) Method of etching high aspect ratio features
US8932947B1 (en) Methods for forming a round bottom silicon trench recess for semiconductor applications
US8389416B2 (en) Process for etching silicon with selectivity to silicon-germanium
US9318343B2 (en) Method to improve etch selectivity during silicon nitride spacer etch
KR101644732B1 (ko) Finfet 방식용 게이트 스페이서 프로파일, 핀 손실 및 하드 마스크 손실 개선을 위한 종횡비 종속 성막
US6716758B1 (en) Aspect ratio controlled etch selectivity using time modulated DC bias voltage
TWI514516B (zh) 保護外露式低k表面的方法
US8263496B1 (en) Etching method for preparing a stepped structure
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
WO2013046050A2 (en) Dry cleaning method for recovering etch process condition
US20150376797A1 (en) Anisotropic etch of copper using passivation
US11398386B2 (en) Plasma etch processes
US20040077178A1 (en) Method for laterally etching a semiconductor structure
TWI446439B (zh) 電漿處理方法
KR20190035593A (ko) 탄탈 질화물(TaN)층에서 피처를 패터닝하기 위한 시스템 및 방법
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
KR102419532B1 (ko) 질화물 에칭을 위한 표면 보수 방법
TWI719257B (zh) 用於自對準多重圖案化技術之間隔件形成
WO2020005394A1 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
US10937664B2 (en) Surface modification process
CN107690694B (zh) 通过溢料添加进行部分蚀刻记忆

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant