KR20110074755A - 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭 - Google Patents

화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭 Download PDF

Info

Publication number
KR20110074755A
KR20110074755A KR1020117009276A KR20117009276A KR20110074755A KR 20110074755 A KR20110074755 A KR 20110074755A KR 1020117009276 A KR1020117009276 A KR 1020117009276A KR 20117009276 A KR20117009276 A KR 20117009276A KR 20110074755 A KR20110074755 A KR 20110074755A
Authority
KR
South Korea
Prior art keywords
gas
silicon
etching
chemical vapor
vapor deposition
Prior art date
Application number
KR1020117009276A
Other languages
English (en)
Other versions
KR101758932B1 (ko
Inventor
야로슬라프 더블유 위니첵
로버트 피 체비
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110074755A publication Critical patent/KR20110074755A/ko
Application granted granted Critical
Publication of KR101758932B1 publication Critical patent/KR101758932B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 챔버를 사용하여 실리콘 층 위에 형성된 패터닝된 마스크를 통해 실리콘 층이 에칭된다. 플루오르 (F) 함유 에칭 가스 및 실리콘 (Si) 함유 화학적 기상 증착 가스가 에칭 챔버 내에 제공된다. 플루오르 (F) 함유 에칭 가스가 이용되어 실리콘 층 내로 피처들을 에칭하고, 실리콘 (Si) 함유 화학적 기상 증착 가스가 이용되어 피처들의 측벽들 상에 실리콘 함유 증착 층을 형성한다. 에칭 가스 및 화학적 기상 증착 가스로부터 플라즈마가 생성되고, 바이어스 전압이 제공된다. 이 플라즈마를 이용하여 실리콘 층 내로 피처들이 에칭되고, 에칭되는 피처들의 측벽들 상에 실리콘 함유 패시베이션 층이 증착된다. 패시베이션 층은 주로 화학적 기상 증착 가스로부터 나온다. 에칭 가스 및 화학적 기상 증착 가스가 그 후 정지된다.

Description

화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭{SILICON ETCH WITH PASSIVATION USING CHEMICAL VAPOR DEPOSITION}
본 발명은 반도체 디바이스의 형성에 관한 것이다. 보다 구체적으로, 본 발명은 실리콘 재료 안에 피처들을 에칭하는 것에 관한 것이다.
이방성 에칭을 이용하여 비아 홀 및 트렌치와 같은 피처들이 실리콘 기판에 형성된다. 이방성 에칭을 달성하도록 측면 에칭으로부터 피처들의 측벽을 보호하기 위해서 측벽 패시베이션이 이용된다. 실리콘 에칭 프로세스 동안 적절한 측벽들을 형성함으로써 실질적으로 수직의 프로파일이 획득될 수 있다. 통상적으로, 에칭 가스는 화학적 에칭을 위한 할로겐 가스 (예컨대, SF6) 및 패시베이션을 위한 산소 (O2) 가스를 함유한다. 통상적으로, 패시베이션 층은 피처 측벽들의 산화에 의해 형성된 실리콘 산화물 (SiOx-계 막) 을 함유하는 산화막이다. 패시베이션 층의 조성은 에칭 화학물질 및 마스크 재료에 의해 영향을 받을 수도 있다. 너무 많은 측벽 패시베이션은 핀치오프 (pinch-off) 를 야기할 수도 있고, 너무 적은 측벽 패시베이션은 보잉 (bowing), 언더컷 및 CD (임계 치수) 악화를 야기할 수도 있다.
깊은 피처들은 또한, "신속하게 교번하는" 플라즈마 에칭 프로세스 (가스 조정 프로세스) 의 이용에 의해 실리콘 기판에 형성될 수도 있고, 플라즈마 에칭 프로세스는 교번하는 플라즈마 에칭 사이클 및 증착 (패시베이션) 사이클의 신속한 반복을 이용한다. 일반적으로, SF6 및 C4F8 은 각각 에칭 사이클 및 증착 사이클을 위한 주요 프로세스 가스들이다. 방향성 에칭을 달성하도록 C4F8 패시베이션 사이클 동안 측벽 보호 폴리머 층이 증착된다. SF6 에칭 사이클 동안, 패시베이션 폴리머는 이온-강화된 에칭에 의해 수평 면들 (예컨대, 비아들의 하부) 로부터 제거되고, 그 후 실리콘은 프리 플루오르 (free fluorine) 에 의해 노출된 영역에서부터 등방성으로 에칭된다.
가스 조정 프로세스에서, 플라즈마 프로세싱 리액터에 공급된 프로세스 가스들은 신속하게 토글링 온 및 토글링 오프되고, 결과적으로 실리콘이 웨이퍼로부터 제거되는 에칭 컨디션으로부터, 재료가 기판 위에 증착되고 실리콘이 제거되지 않는 증착 컨디션으로, 그리고 그 후 다시 에칭 컨디션으로 빠르게 변한다. 통상적으로, 교번하는 사이클들의 지속기간은 비교적 짧고, 통상적으로 실리콘 기판 안에 원하는 깊이를 달성하기 위해 다수의 사이클들이 요구된다.
앞서 말한 것을 달성하기 위해서 본 발명의 목적에 따르면, 실리콘 층 위에 형성된 패터닝된 마스크를 통해 실리콘 층을 에칭하는 방법이 제공된다. 에칭 챔버 내에 실리콘 층이 배치된다. 플루오르 (F) 함유 에칭 가스 및 실리콘 (Si) 함유 화학적 기상 증착 가스가 에칭 챔버 내에 제공된다. 플루오르 (F) 함유 에칭 가스가 이용되어 실리콘 층 내로 피처들을 에칭하고, 실리콘 (Si) 함유 화학적 기상 증착 가스가 이용되어 피처들의 측벽 상에 실리콘 함유 증착 층을 형성한다. 에칭 가스 및 화학적 기상 증착 가스로부터 플라즈마가 생성되고, 바이어스 전압이 제공된다. 이 플라즈마를 이용하여 실리콘 층 내로 피처들이 에칭되고, 에칭되는 피처들의 측벽 상에 실리콘 함유 패시베이션 층이 증착된다. 패시베이션 층 내의 실리콘은 주로 화학적 기상 증착 가스로부터 나온다. 에칭 가스 및 화학적 기상 증착 가스가 그 후 정지된다.
본 발명의 다른 명시에서, 다운스트림 플라즈마를 이용하여 실리콘 층 위에 형성된 패터닝된 마스크를 통해 실리콘 층을 에칭하는 방법이 제공된다. 에칭 챔버 내에 실리콘 층이 배치된다. 실리콘 층 내로 피처들을 에칭하기 위한 플루오르 (F) 함유 에칭 가스가 업스트림 플라즈마 챔버 안으로 제공된다. 업스트림 플라즈마 챔버 내에서 에칭 가스로부터 플라즈마가 생성된다. 이 플라즈마로부터 에칭 챔버 안으로 반응 매개물이 유동된다. 반응 매개물이 실리콘 함유 화학적 증기를 포함하도록 실리콘 (Si) 함유 화학적 기상 증착 가스가 에칭 챔버 안으로 제공된다. 바이어스 전압이 제공되고, 반응 매개물을 이용하여 실리콘 층 내로 피처들이 에칭되면서, 에칭되는 피처들의 측벽 상에 실리콘 함유 패시베이션 층이 증착된다. 패시베이션 층 내의 실리콘은 주로 화학적 기상 증착 가스로부터 나온다. 반응 매개물 및 화학적 기상 증착 가스의 흐름이 그 후 정지된다.
본 발명의 다른 명시에서, 패터닝된 마스크를 통해 실리콘 층 내로 피처들을 에칭하기 위한 장치가 제공된다. 장치는 플라즈마 프로세싱 챔버, 가스 소스, 및 제어기를 포함한다. 플라즈마 프로세싱 챔버는 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하기 위한 기판 지지부, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극, 적어도 하나의 전극에 전기적으로 접속된 적어도 하나의 RF 전력 소스, 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함한다. 가스 소스는 가스 유입구와 유체 접속하고, 에칭 가스 소스 및 화학적 기상 증착 가스 소소를 포함한다. 제어기는 적어도 하나의 프로세서 및 가스 소스, RF 바이어스 소스, 및 적어도 하나의 RF 전력 소스에 제어 가능하게 접속되고, 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드를 갖는 컴퓨터 판독가능 매체를 포함한다. 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드는 (a) 에칭 가스 소스로부터 플라즈마 챔버 안으로 플루오르 (F) 함유 에칭 가스를 유동시키기 위한 컴퓨터 판독가능 코드, (b) 화학적 기상 증착 가스 소스로부터 플라즈마 챔버 안으로 실리콘 (Si) 함유 화학적 기상 증착 가스를 유동시키기 위한 컴퓨터 판독가능 코드, (c) 에칭 가스 및 화학적 기상 증착 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드, (d) 바이어스 전압을 제공하기 위한 컴퓨터 판독가능 코드, (e) 실리콘 층 내로 피처들을 에칭하기 위한 컴퓨터 판독가능 코드, (f) 패시베이션 층 내의 실리콘이 주로 화학적 기상 증착 가스로부터 나오도록, 에칭되는 피처들의 측벽 상에 실리콘 함유 패시베이션 층을 증착하기 위한 컴퓨터 판독가능 코드, 및 (g) 에칭 가스 및 화학적 기상 증착 가스를 정지시키기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이들 및 다른 특성들은 본 발명의 상세한 설명 및 이하의 도면들과 관련되어 이하에서 보다 상세히 설명될 것이다.
본 발명은 첨부된 도면들의 수치에서 제한의 방식이 아닌 예시의 방식에 의해 도시되고, 도면에서 동일한 참조 부호는 동일한 엘리먼트들을 가리킨다.
도 1 은 본 발명의 일 실시형태에 따라 실리콘 층을 에칭하는 프로세스의 하이 레벨 흐름도이다.
도 2 는 본 발명의 일 실시형태에 따라, 피처가 에칭되는 실리콘 층의 단면도의 예를 개략적으로 나타낸다.
도 3 은 본 발명의 일 실시형태를 수행하기 위해 이용될 수도 있는 플라즈마 프로세싱 시스템의 예의 개략도이다.
도 4 는 본 발명의 실시형태에 이용된 제어기를 구현하기에 적합한 컴퓨터 시스템을 나타낸다.
도 5 는 본 발명의 다른 실시형태에 따른 실리콘 층의 에칭 프로세스의 하이 레벨 흐름도이다.
도 6 은 본 발명의 일 실시형태를 수행하기 위해 이용될 수도 있는 다운스트림 플라즈마 프로세싱 시스템의 예의 개략도이다.
본 발명은 첨부된 도면들에 도시된 본 발명의 몇몇 바람직한 실시형태들을 참조하여 상세히 설명될 것이다. 이하의 상세한 설명에서, 본 발명의 전체 이해를 제공하기 위해서 다수의 특정 상세들이 설명된다. 그러나, 본 발명은 이들 특정 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 경우, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위해서 상세히 설명되지 않았다.
출원인들은 SF6 또는 NF3 와 같은 플루오르 함유 가스를 이용하여 실리콘 에칭 프로세스 동안 피처 측벽을 보호하기 위해서 산화물계 패시베이션 층을 형성하도록 패시베이션 가스로서 O2, SO2, CO2, CO 와 같은 산소 함유 가스를 이용해왔다. 측벽 패시베이션 층은 (O2 가 이용되는 경우) SiO2 를 함유하고; (SO2 가 이용/첨가되는 경우) SiOx 를 함유하고; 그리고/또는 (CO2 및/또는 CO 가 이용/첨가되는 경우) SiC 또는 SiOC 를 함유한다. N2O 또는 NO2 가 또한 이용되거나 첨가될 수도 있고, 이것은 SiN 또는 SiON 를 더 함유하는 패시베이션 층을 초래한다. 다른 가스들, 예컨대 B2H6, BCl3 가 또한 추가될 수도 있고, 여기서 패시베이션 층은 또한 SiOBN 또는 SiBN 을 함유할 수도 있다.
이들 프로세스에서, 산화물 패시베이션 층은 산화제들과 실리콘 표면 사이의 반응을 요구하는 플라즈마 강화된 산화에 의해 형성된다. 즉, 산화물 패시베이션 층은 실리콘을 소비하는 산화 반응에 의해 실리콘 피처들의 표면으로부터 산화물로 "성장" 한다. 이러한 반응 또는 성장은 소정 양의 시간을 필요로 한다, 즉 시간 상수를 갖는다. 출원인은 실질적으로 더 작은 시간 상수로 실리콘 에칭 동안 패시베이션 층을 형성하는 새로운 방법을 알아냈다.
본 발명의 일 실시형태에 따르면, 실리콘 에칭을 위한 패시베이션 층은 화학적 기상 증착에 의해 피처들의 측벽 상에 재료를 직접 증착함으로써 형성된다. 이러한 증착은 피처 측벽들의 산화 또는 표면 반응을 필요로 하지 않기 때문에, 시간 상수는 더욱 작아지고 이에 따라 패시베이션 층은 종래의 패시베이션보다 더 빠르게 형성된다. 본 발명의 일 실시형태에 따르면, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 등과 같은 유전체 층을 함유하는 실리콘이 화학적 기상 증착을 이용하여 증착된다. 표면 성장이 아닌 화학적 기상 증착에 의해 패시베이션 층을 형성하는 것은 더욱 내구성이 있는 패시베이션 층들을 제공한다. 또한, 화학적 기상 증착 프로세스는 더욱 플렉서블하여 패시베이션 층의 프로파일을 제어한다.
이해를 용이하게 하기 위해서, 도 1 은 본 발명의 일 실시형태에 이용된 프로세스의 하이 레벨 흐름도이고, 여기서 실리콘 층은 에칭 챔버를 사용하여 패터닝된 마스크를 통해 에칭된다. 플루오르 (F) 함유 가스를 함유하는 에칭 가스 (플루오르 함유 에칭 가스) 및 실리콘 (Si) 함유 가스를 함유하는 화학적 기상 증착 가스 (실리콘 함유 화학적 기상 증착 가스) 가 실리콘 층이 배치되는 에칭 챔버 안에 제공된다 (단계 102). 특정 반응 효과를 제공하기 위해서 캐리어 가스 및/또는 희석 가스가 화학물질에 추가될 수도 있다. 플루오르 함유 에칭 가스가 제공되어 실리콘 층 내로 피처들을 에칭한다. 예를 들어, 플루오르 함유 에칭 가스는 SF6 을 함유한다. 플루오르 함유 에칭 가스는 SiF4 를 더 함유한다. 또한, 플루오르 함유 에칭 가스는 NF3 또는 CF4, 또는 SF6, NF3, SiF4 및/또는 CF4 의 조합물을 함유할 수도 있다. 다른 할로겐 함유 가스가 또한 에칭 가스에 추가될 수도 있다. SiF4 (첨가물) 는 실리콘을 함유하지만, 에칭 가스 내의 SiF4 만이 피처의 보잉 또는 언더컷을 감소시킨다. SiF4 첨가물은 Si 원자들의 평형을 시프트함으로써 피처 측벽들로부터 Si 소모를 감소시키지만, 측벽 상에 임의의 실리콘 함유 유전체 층을 증착하지 않는다.
실리콘 함유 화학적 기상 증착 가스 (실리콘 함유 가스) 가 제공되어 피처의 측벽 상에 실리콘 함유 증착 층을 형성한다. 예를 들어, 실리콘 함유 화학적 기상 증착 가스는 시레인 (예를 들어, SiH4, 보다 일반적으로, SinH2n +2) 의 증기, 실리케이트, 또는 실록산 (R2SiO, 여기서 R 은 수소 원자 또는 탄화수소기임) 을 함유할 수도 있다. 바람직하게, 화학적 기상 증착 가스는 TEOS (tetra-ethyl-ortho-silicate) 증기 또는 OMCTS (octa-methyl-cyclo-tetra-siloxane) 증기를 포함한다. 화학적 기상 증착 가스는 또한 첨가 가스(들)을 함유할 수도 있다. 예를 들어, 화학적 증착 가스는 실란 및 산소를 함유하여 산화물을 증착할 수도 있고, 또는 실란이나 실리케이트 또는 실록산 및 암모니아를 함유하여 실리콘 질화물 및 실리콘 산질화물 (oxy nitride) 을 증착할 수도 있다.
화학적 기상 증착 가스는 에칭 챔버 안으로 도입되기 전에 플루오르 함유 에칭 가스로 미리혼합될 수도 있다. 다르게는, 에칭 가스 및 화학적 기상 증착 가스는 개별의 가스 유입구들로부터, 플라즈마가 생성되는 에칭 챔버 안으로 도입될 수도 있다.
도 1 을 참조하면, 에칭 가스 및 화학적 기상 증착 가스로부터 플라즈마가 생성된다 (단계 104). 바이어스 전압이 제공되어 (단계 106) 이방성 에칭 (또는 오로지 수평면 상에서 에칭) 을 용이하게 하고, 플라즈마를 이용하여 실리콘 층 내로 피처들이 에칭된다 (단계 108). 동시에, 실리콘 함유 패시베이션 층 (유전체 층) 이, 에칭되는 피처들의 측벽 상에 증착된다 (단계 110). 따라서, 형성된 패시베이션 층은 SiOxCy 을 함유하고, 여기서 x 및 y 가 둘다 0 은 아니다. 패시베이션 층 내의 실리콘 원자들이 피처들 자체 (즉, "내부" 소스) 의 실리콘 재료들로부터 나오는 종래의 표면 산화에 반해, 본 발명의 실시형태에 따른 패시베이션 층 내의 실리콘 원자들은 주로 화학적 기상 증착 가스 (즉, "외부" 소스) 로부터 나온다. 본 발명의 일 실시형태에 따르면, 패시베이션 층 내의 실리콘 원자들 중 50 % 이상이 화학적 기상 증착 가스로부터 기원한다. 바람직하게, 패시베이션 층 내의 실리콘 원자들 중 80 % 이상이 화학적 기상 증착 가스로부터 기원한다. 더 바람직하게, 패시베이션 층 내의 실리콘 원자들의 95 % 이상이 화학적 기상 증착 가스로부터 기원한다.
이해를 용이하게 하기 위해서, 도 2 는 피처가 에칭되는 실리콘 층 (200) 의 단면의 예를 개략적으로 나타낸다. 실리콘 층 (200) 은 실리콘 웨이퍼일 수도 있다. 실리콘 재료는 결정 실리콘, 폴리실리콘, 또는 비정질 실리콘일 수도 있다. 실리콘 재료는 또한 도핑된 또는 인장된 실리콘일 수도 있다. 패터닝된 마스크 (202) 는 실리콘 층 (200) 위에 제공되고, 이것은 실리콘 재료 상에 피처 (204) 를 정의한다. 마스크 (202) 는 포토레지스트 (PR) 마스크 또는 하드 마스크 (산화물) 일 수도 있다. 마스크 (202) 는 또한, 이전 단계들 (미도시) 에서 에칭되었을 수도 있는 도전 층 및/또는 유전체 층들과 같은 마스크 아래의 다른 층들을 포함할 수도 있다. 실리콘 재료 안에 에칭된 피처 (204) 는 도 2 에 도시된 바와 같은 수직 (즉, 실질적으로 90 도) 의 프로파일 각도를 가질 수도 있다. 피처 (204) 는 애플리케이션에 따라 테이퍼링된 프로파일 (즉, 90 도 미만의 프로파일 각도) 을 가질 수도 있다. 실리콘 에칭은, 피처들이 5 마이크론 내지 800 마이크론의 범위의 깊이까지 에칭되는 깊은 실리콘 에칭일 수도 있는 반면에, 경쟁하는 CMOS 디바이스의 층들의 통상적인 두께는 3 내지 5 마이크론이다. 예를 들어, 피처들의 애스펙트비는 최소 80 일 수도 있고, 또는 다르게는 피처들의 깊이는 최소 80 μm 일 수도 있다. 그러나, 본 발명은 임의의 유형의 실리콘 에칭에 적용 가능하다.
에칭 프로세스 동안, 패시베이션은 피처 (204) 의 측벽 (206) 및 하부 (208) 에 발생한다. 바이어스 전압이 제공되기 때문에 (도 1, 단계 106), 대전된 입자들 (이온들) 은 피처의 하부 (208) 에 충격을 가하지만, 측벽 (206) 상에는 충격을 가하지 않거나 실질적으로 덜 가한다. 하부에 대한 이온 충격은 에칭을 초래하는 화학적 반응을 용이하게 한다. 따라서, 측벽 상에, 패시베이션 층 (210) 의 증착이 계속되어 에칭 가스로부터의 에천트 (F) 라디컬로부터 측벽 (206) 을 보호한다. 한편, 피처의 하부 (208) 에서, 패시베이이션 층 (210) 이 증착되는 동시에 이온 보조 에칭에 의해 제거되고 있으며, 노출된 실리콘은 라디컬에 의해 에칭된다. 패시베이션 층은 실리콘 재료의 종래의 표면 산화보다 더 빠르게 형성되기 때문에, 에칭 프로세스가 더욱 효율적으로 수행될 수 있다.
패시베이션 층을 이용하여 바람직한 피처들이 에칭된 후에, 에칭 가스 및 화학적 기상 증착 가스 흐름이 정지된다 (도 1, 단계 112).
SF6 과 같은 플루오르 함유 가스, 및 실리콘 함유 화학적 기상 증착 가스, 예를 들어 TEOS 증기를 포함하는 에칭 가스를 이용하여, 연속적인, 비-교번의 에칭 프로세스 (정상 상태) 로 높은 에칭 효율성 및 원하는 프로세스 유연성이 달성될 수도 있다. 공급 가스 흐름 설정 포인트들이 프로세스 동안 변할 수 있더라도 (예를 들어, 상위 값에서 하위 값으로 램프됨 (ramped), 또는 그 반대로 램프됨), 에칭 가스 흐름은 토글링 온 및 토글링 오프되지 않기 때문에, 프로세스는 계속되고, 가스 공급기는 피처 (204) 가 실리콘 층 (200) 안에 에칭되는 동안 계속된다. 프로세스는 "에칭" 컨디션으로부터 "증착" 컨디션으로 변하지 않기 때문에 비-교번이다; 차라리, 실리콘의 에칭 및 에칭의 금지 (패시베이션) 가 에칭 프로세스 동안 동시에 발생한다. 이러한 연속적인 프로세스의 에칭 효율성은, 실리콘이 전체 프로세스 시간의 100% 동안 제거되지 않기 때문에 신속하게 교번하는 프로세스를 통해 상당히 개선될 수도 있다. 또한, 가스 흐름이 연속적이기 때문에, 가스 흐름 제어기와 같은 표준 하드웨어가 사용되고, 이에 따라 프로세스를 지원하기 위해 필요한 시스템의 비용 및 복잡성이 감소될 수도 있다.
정상 상태 실리콘 에칭 프로세스의 예는 2500 W 의 TCP 전력, 250V 바이어스 전압으로 SF6 를 함유하는 에칭 가스 및 OMCTS 증기를 함유하는 화학적 기상 증착 가스를 이용한다. 프로세스 가스 흐름은 80 mTorr 에서 900 sccm SF6, 20 sccm OMCTS 증기, 및 선택적으로 100 sccm O2, 및 50 sccm SiF4 를 포함할 수도 있다. 웨이퍼 척 온도는 0 ℃ 로 설정된다.
또한, 프로세스 성능 및 연속적인 에칭 프로세스의 유연성은 연속적인 에칭 프로세스 동안 통상의 프로세스 파라미터, 예컨대 플라즈마 전력, 웨이퍼 바이어스 전력, 프로세스 챔버 압력, 등을 변경함으로써 향상될 수도 있다. 예를 들어, 플라즈마 전력 공급기, 및/또는 웨이퍼 바이어스 전압은 웨이퍼에 도달하는 대전된 반응성 플라즈마 성분들에 대한 중성의 반응성 플라즈마 성분의 비율을 균형잡기 위해서 온/오프 또는 하이/로우 방식으로 펄싱 (pulse) 될 수도 있다. 다른 예로, 플라즈마 전력, 웨이퍼 바이어스 전력, 및/또는 플라즈마 프로세싱 챔버 내의 압력은 연속적인 에칭 프로세스 동안 높은 값에서 낮은 값으로, 또는 낮은 값에서 높은 값으로 램핑 (ramp) 될 수도 있다.
도 3 은 본 발명의 일 실시형태에 따라 실리콘 층을 에칭하는 프로세스를 수행하기 위해 이용될 수도 있는 플라즈마 프로세싱 시스템 (300) 의 예를 개략적으로 도시한다. 플라즈마 프로세싱 시스템 (300) 은 그 안에 플라즈마 프로세싱 챔버 (304) 를 갖는 플라즈마 리액터 (302) 를 포함한다. 정합 네트워크 (308) 에 의해 조정된 플라즈마 전력 공급기 (306) 는 윈도우 (312) 부근에 위치한 TCP 코일 (변압기의 주 권선)(310) 에 전력을 공급하여 플라즈마 프로세싱 챔버 (304) 내에 플라즈마 (314) 를 생성한다. 코일 (상부 전력 소스)(310) 은 프로세싱 챔버 (304) 내에 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TPC 코일 (310) 은 플라즈마 (314) 에서 환상의 (toroidal) 전력 분배를 생성하도록 구성될 수도 있다. 윈도우 (312) 는, 에너지가 TPC 코일 (310) 로부터 플라즈마 챔버 (304) 로 패스되는 것을 허용하면서, 플라즈마 챔버 (304) 로부터 TPC 코일 (310) 을 분리하도록 제공된다. 정합 네트워크 (318) 에 의해 조정된 웨이퍼 바이어스 전압 전력 공급기 (316) 는 전극 (320) 에 전력을 제공하여, 전극 (320) 에 의해 지지되는 웨이퍼 (322) 에 대해 바이어스 전압을 설정한다. 제어기 (324) 는 플라즈마 전력 공급기 (306) 및 웨이퍼 바이어스 전압 공급기 (316) 에 대한 포인트를 설정한다.
플라즈마 전력 공급기 (306) 및 웨이퍼 바이어스 전압 전력 공급기 (316) 는, 예를 들어 13.56 MHz 와 같은 특정 무선 주파수에서 동작하도록 구성될 수도 있다. 플라즈마 전력 공급기 (306) 및 웨이퍼 바이어스 전력 공급기 (316) 는 원하는 프로세스 성능을 달성하기 위해서 일 범위의 전력을 공급하도록 적절히 사이징될 수도 있다. 예를 들어, 본 발명의 일 실시형태에서, 플라즈마 전력 공급기 (306) 는 500 내지 6000 와트의 범위의 전력을 공급할 수도 있고, 웨이퍼 바이어스 전압 전력 공급기 (316) 는 20 내지 1000 V 의 범위의 바이어스 전압을 공급할 수도 있다. 또한, TPC 코일 (310) 및/또는 전극 (320) 은 2 이상의 서브 코일들 또는 서브 전극들로 이루어질 수도 있고, 서브 코일들 및 서브 전극들은 단일 전력 공급기에 의해 전력을 공급받거나 다수의 전력 공급기들에 의해 전력을 공급받을 수도 있다.
도 3 에 도시된 바와 같이, 플라즈마 프로세싱 시스템 (300) 은 가스 소스/가스 공급 메커니즘 (330) 을 더 포함한다. 가스 소스는 에칭 가스 소스 (332), 화학적 기상 증착 가스 소스 (334), 및 선택적으로 추가의 가스 소스 (336) 를 포함한다. 가스 소스들 (332, 334, 및 336) 은 가스 유입구 (340) 를 통해 프로세싱 챔버 (304) 와 유체 접속한다. 가스 유입구 (340) 는 챔버 (304) 내의 임의의 유리한 로케이션에 위치할 수도 있고, 단일의 노즐 또는 샤워헤드와 같이 가스를 주입하기 위한 임의의 형태를 취할 수도 있다. 그러나, 바람직하게, 가스 유입구 (340) 는 "조정 가능한" 가스 주입 프로파일을 생성하도록 구성될 수도 있고, 이 프로파일은 프로세스 챔버 (304) 내의 다수의 구역들로의 가스들의 각각의 흐름의 독립적인 조정을 허용한다. 도 3 은 단지 단일의 가스 유입구 (340) 를 도시하였으나, 에칭 가스 및 화학적 기상 증착 가스는 동일한 가스 유입구 (340) 뿐만 아니라 개별의 가스 유입구 (미도시) 로부터 프로세스 챔버 안으로 도입될 수도 있다. 개별의 가스 유입구들이 프로세스 챔버 (304) 의 상이한 로케이션에 제공될 수도 있고, 에칭 및 화학적 기상 증착 프로세스를 최적화하기 위해서 가스 유입구들의 로케이션이 조정될 수도 있다. 예를 들어, 가스 유입구의 로케이션은 임의의 관련 없는 증착을 감소시키도록 결정될 수도 있다. 본 발명은 프로세스 챔버 (304) 또는 플라즈마 프로세싱 시스템 (300) 의 특정 설계 또는 구성에 제한되지 않는다. 프로세스 가스 및 부산물은 압력 제어 밸브 (342) 및 펌프 (344) 를 통해 챔버 (304) 로부터 제거되고, 이는 또한 플라즈마 프로세싱 챔버 (304) 내의 특정 압력을 유지하게 한다. 가스 소스/가스 공급 메커니즘 (330) 은 제어기 (324) 에 의해 제어된다.
플라즈마 프로세싱 시스템 (300) 은 또한, 윈도우 (312) 전체에 걸쳐 온도 기울기를 감소시키고 윈도우 (312) 의 전체 동작 온도를 감소시키도록 윈도우 냉각 시스템 (미도시) 을 포함할 수도 있다.
도 4 는 제어기 (324)(도 3) 를 구현하기에 적합한 컴퓨터 시스템 (400) 의 블록도를 개략적으로 도시하고, 이 제어기는 본 발명의 하나 이상의 실시형태에서 사용될 수도 있다. 컴퓨터 시스템 (400) 은 집적 회로, 인쇄 회로 기판, 및 소형의 핸드헬드 디바이스에서부터 거대 슈퍼 컴퓨터에 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (400) 에서, 시스템 버스 (420) 에는 다양한 서브시스템들이 부착된다. 프로세서(들) (422)(중앙 처리 장치, 또는 CPU 로도 지칭됨) 은 시스템 메모리 (424) 를 포함하는 저장 디바이스들에 커플링된다. 메모리 (424) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 을 포함한다. 당해기술 분야에 잘 알려진 것으로서, ROM 은 데이터 및 명령들을 일 방향으로 CPU 로 전송하도록 작용하고, RAM 은 통상적으로 데이터 및 명령들을 양 방향 방식으로 전송하도록 사용된다. 이들 유형의 메모리들 모두는 후술되는 임의의 적합한 종류의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 또한, CPU (422) 에 양 방향으로 커플링되고; 추가의 데이터 저장 용량을 제공하고, 또한 후술되는 임의의 컴퓨터 판독 가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 프로그램, 데이터, 등을 저장하는데 사용될 수도 있고, 통상적으로 프라이머리 저장장치보다 더 느린 세컨더리 저장 매개물 (예컨대, 하드 디스크) 이다. 적절한 경우, 고정 디스크 (426) 내에 보유된 정보는 메모리 (424) 내의 가상 메모리와 같은 표준 방식으로 포함될 수도 있다. 착탈형 디스크 (414) 는 디스크 드라이브 (428) 를 통해 이용되어 컴퓨터 시스템 (400) 으로 그리고 컴퓨터 시스템으로부터 데이터를 전송할 수도 있다. 착탈형 디스크 (414) 는 후술되는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다. USB 플래시 드라이브와 같은 휴대용 메모리 (434) 가 또한 시리얼 포트 (432) 를 통해 사용될 수도 있다.
CPU (422) 는 또한, 다양한 입/출력 디바이스들, 예컨대 디스플레이 (404), 키보드 (410), 컴퓨터 마우스와 같은 사용자 포인팅 디바이스 (412), 스피커 (430), 및 입/출력 (I/O) 제어기 (436) 에 커플링된다. 일반적으로, 입/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치-감지형 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 페이퍼 테이프 판독기, 태블릿, 스타일러스, 음성 또는 필체 인식기, 바이오메트릭 판독기, 또는 다른 컴퓨터 중 어느 일방일 수도 있다. CPU (422) 는 네트워크 인터페이스 (440) 를 이용하여 다른 컴퓨터 또는 전자통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스를 이용하여, CPU (422) 는 네트워크로부터 정보를 수신할 수도 있고, 또는 전술된 방법 단계들을 수행하는 과정에서 네트워크로 정보를 출력할 수도 있는 것으로 생각된다. 더욱이, 본 발명의 방법 실시형태들은 단지 CPU (422) 상에서 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 관련하여 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
컴퓨터 시스템 (400) 은 플라즈마 프로세싱 시스템을 진단하고 플라즈마 프로세스를 제어하기 위해서, 가스 흐름, 압력, 온도, 전력 등과 같은 관련 있는 프로세스 데이터를 수집 및 저장하도록 구성될 수도 있다.
또한, 본 발명의 실시형태들은 각종 컴퓨터 구현된 동작들을 수행하기 위해 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품에 관한 것이다. 매개물 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있고, 또는 컴퓨터 소프트웨어 분야에서 당업자에게 잘 알려져 있고 이용 가능한 종류일 수도 있다. 유형의 컴퓨터 판독가능 매체의 예들로는, 비 제한적으로, 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그램 디바이스와 같은 광 매체; 플로피 디스크와 같은 자기-광 매체; 및 주문형 집적 회로 (ASIC), 프로그래머블 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장 및 실행하도록 특별히 구성되는 하드웨어 디바이스가 있다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 바와 같은 머신 코드, 및 해석 프로그램을 이용하여 컴퓨터에 의해 실행되는 상위 레벨의 코드를 포함하는 파일들를 포함한다. 컴퓨터 판독가능 매체는 또한 반송파에서 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 발명의 일 실시형태에 따르면, 다운스트림 플라즈마 챔버는 실리콘 층을 에칭하기 위해 사용되고, 화학적 기상 증착 가스 흐름은 플라즈마의 다운스트림으로 도입될 수도 있다. 도 5 는 본 발명의 일 실시형태에 이용된 프로세스를 개략적으로 나타내고, 여기서 실리콘 층은 다운스트림 플라즈마 챔버를 사용하여 패터닝된 마스크를 통해 에칭된다. 도 6 은 다운스트림 플라즈마 프로세싱 시스템 (600) 의 예를 개략적으로 나타내고, 이 시스템은 본 발명의 본 실시형태에 따라 실리콘 층을 에칭하는 프로세스를 수행하기 위해 이용될 수도 있다.
도 6 에 도시된 바와 같이, 다운스트림 플라즈마 프로세싱 시스템 (600) 은 에칭 챔버 (602) 및 플라즈마 챔버 (610) 를 포함한다. 정합 네트워크 (608) 에 의해 조정된 플라즈마 전력 공급기 (606) 는 에칭 챔버 (602) 의 상부에 위치한 플라즈마 챔버 (610) 에 전력을 공급한다. 플라즈마 챔버 (610) 는 RF 전력 또는 마이크로파를 이용하여 플라즈마 (612) 를 에너자이징할 수도 있다. 반응 매개물 (에천트 매개물) (650) 은 포트 (652) 를 통해 플라즈마 (612) 로부터 에칭 챔버 (602) 로 유동한다. 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 전력 공급기 (616) 는 플라즈마 및 바이어스 전압을 제공한다. 정합 네트워크 (618) 에 의해 조정되는 전력 공급기 (616) 는 전극 (620) 에 전력을 제공하여, 전극 (620) 에 의해 지지되는 웨이퍼 (622) 에 대해 바이어스 전압을 설정한다. 제어기 (624) 는 플라즈마 전력 공급기 (606) 및 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 공급기 (616) 에 대한 포인트를 설정한다. 제어기 (624) 는 전술된 컴퓨터 시스템 (400)(도 4a 및 도 4b) 을 이용하여 구현될 수도 있다. 또한, 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 전력 공급기 (616) 는 하나 이상의 주파수들을 제공할 수도 있다. 예를 들어, 13.56 MHz 단독으로, 2 MHz 와 함께 27 MHz, 및 400 kHz 와 함께 27 MHz 또는 더 높은 (예컨대 60 MHz) 주파수가 이용될 수도 있다. 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 전력 공급기 (616) 는 2 개의 별개의 제너레이터들을 포함할 수도 있다: 플라즈마 소스로서 더 높은 주파수 제너레이터, 및 바이어스 전압 소스로서 더 낮은 주파수를 갖는 다른 제너레이터.
다운스트림 플라즈마 프로세싱 시스템 (600) 은 가스 소스/가스 공급 메커니즘 (630) 을 더 포함한다. 가스 소스는 에칭 가스 소스 (632), 화학적 기상 증착 가스 소스 (636), 및 선택적으로 추가의 가스 소스 (634) 를 포함한다. 플루오르 함유 가스 소스 (632) 및 (선택적인) 추가의 가스 소스 (634) 가 가스 유입구 (614) 를 통해 플라즈마 챔버 (610) 와 유체 접속한다. 에칭 가스 소스 (632) 는 가스 유입구 (640) 를 통해 에칭 챔버 (602) 와 유체 접속한다. 가스 유입구들 (614 및 640) 은 플라즈마 챔버 (610) 및 에칭 챔버 (602) 내의 임의의 유리한 로케이션에 각각 위치할 수도 있고, 단일의 노즐 또는 샤워헤드와 같은 주입 가스를 위해 임의의 형태를 취할 수도 있다. 그러나, 바람직하게 가스 유입구들 (614 및 640) 은 "조정 가능한" 가스 주입 프로파일을 생성하도록 구성될 수도 있고, 이 프로파일은 가스들의 각각의 흐름의 독립적인 조정을 허용한다. 가스 유입구들 (614 및 640) 의 로케이션은 도 6 에 도시된 것들에 제한되지 않는다. 가스 유입구들 (614 및 640) 의 로케이션은 에칭 및 화학적 기상 증착 프로세스를 최적화하기 위해서 조정될 수도 있다. 예를 들어, 가스 유입구 (640) 의 로케이션은 임의의 관련 없는 증착을 감소시키도록 결정될 수도 있다. 본 발명은 프로세스 챔버 (602) 또는 플라즈마 프로세싱 시스템 (600) 의 특정 설계 또는 구성에 제한되지 않는다. 프로세스 가스 및 부산물은 압력 제어 밸브 (642) 및 펌프 (644) 를 통해 에칭 챔버 (604) 로부터 제거되고, 밸브 및 펌프는 또한 플라즈마 프로세싱 챔버 (604) 내의 특정 압력을 유지하게 한다. 가스 소스/가스 공급 메커니즘 (630) 은 제어기 (624) 에 의해 제어된다.
도 5 에 도시된 바와 같이, 플루오르 함유 가스가 업스트림 플라즈마 챔버 (610) 로 제공되고 (단계 502), 에칭 가스로부터 플라즈마 (612) 가 생성된다 (단계 504). 에칭 가스는, 추가의 가스 소스 (634) 로부터 제공될 수도 있는, 이전 실시형태들과 유사한 다른 성분들을 포함할 수도 있다. 반응 매개물 (650) 은 플라즈마 (612) 로부터 에칭 챔버 (602) 안으로 이송된다 (단계 506). 반응 매개물 (650) 은 라디컬 및 이온을 포함한다. 반응 매개물 (650) 이 실리콘 함유 가스 증기를 함유하도록, 실리콘 함유 화학적 기상 증착 가스 (예를 들어, TEOS 또는 OMCTS) 가 유입구 (640) 를 통해 에칭 챔버 (602) 안으로 도입된다 (단계 508). 챔버 (웨이퍼 기반) 플라즈마 전력 및 바이어스 전압이 제공되고 (단계 510), 실리콘 층 내로 피처들이 에칭되면서 (단계 512), 에칭되는 피처들의 측벽 상에 실리콘 함유 가스 증기로부터 실리콘 함유 패시베이션 층 (유전체 층) 이 증착된다 단계 (514). 그 후, 반응 매개물 및 화학적 기상 증착 가스가 정지된다 (단계 516).
본 발명의 일 실시형태에 따르면, 화학적 기상 증착을 이용하는 패시베이션이 가스 조정 프로세스에 적용되고, 이 프로세스는 반복적인 교번의 증착 및 에칭 단계로 이루어진다. 일반적으로, 에칭 단계는 SF6 를 함유하는 에칭 가스를 이용하고, 증착 단계는 C4F8 을 함유하는 증착 가스를 이용하는데 C4F8 는 C-C 본드를 포함하는 이산화탄소계 패시베이션 층을 증착한다. 출원인은 적은 양의 SiF4 를 첨가물로서 이용하여 이산화탄소계 패시베이션 층의 특징을 변형하도록 C-Si 본드를 생성하였다. 본 발명의 일 실시형태에 따른 화학적 기상 증착은 이산화탄소계 패시베이션 층 보다는 산화물계 패시베이션 층을 함유하는 실리콘을 증착하도록 이 증착 단계에 적용될 수 있다. 실리콘 함유 화학적 기상 증착 가스, 예를 들어 TEOS 또는 OMCTS 가 C4F8 증착 가스 대신에 이용된다. 다르게는, 빠른 교번의 프로세스 및 정상 상태 프로세스의 하이브리드 프로세스가 이용될 수도 있다. 이 하이브리드 프로세스는 2 개의 페이즈들 (서브-프로세스들) 사이에서 교번한다: 1) SF6 (또는 유사한 실리콘 에천트) 가 풍부하고 일부 실리콘 패시베이션 화합물을 갖는 전체 에칭 페이즈; 및 2) 실리콘 패시베이션 가스가 풍부하지만 느린 에칭을 위한 일부 SF6 를 갖는 전체 증착 프로세스. 실리콘 함유 화학적 기상 증착을 이용하는 것은 증착/패시베이션 단계의 시간을 감소시키고/시키거나 측벽 프로파일의 추가의 제어를 제공하는 것으로 여겨진다.
본 발명은 몇몇 바람직한 실시형태들의 관점에서 설명되었으나, 본 발명의 범위 내에 있는 변경, 치환, 변형, 및 각종 대체의 등가물들이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방법들이 존재한다. 따라서, 다음의 첨부된 청구범위는 본 발명의 신규한 사상 및 범위 내의 모든 이러한 변경, 치환, 및 각종 대체의 등가물을 포함하는 것으로 해석되는 것으로 의도된다.

Claims (18)

  1. 실리콘 층이 배치되는 에칭 챔버를 사용하여 실리콘 층 위에 형성된 패터닝된 마스크를 통해 상기 실리콘 층을 에칭하는 방법으로서,
    상기 실리콘 층 내로 피처들을 에칭하기 위한 플루오르 (F) 함유 에칭 가스 및 상기 피처들의 측벽들 상에 실리콘 함유 증착 층을 형성하기 위한 실리콘 (Si) 함유 화학적 기상 증착 가스를 제공하는 단계;
    상기 에칭 가스 및 상기 화학적 기상 증착 가스로부터 플라즈마를 생성하는 단계;
    바이어스 전압을 제공하는 단계;
    상기 플라즈마를 이용하여 상기 실리콘 층 내로 피처들을 에칭하는 단계;
    에칭되는 상기 피처들의 상기 측벽들 상에 실리콘 함유 패시베이션 층을 증착하는 단계로서, 상기 패시베이션 층 내의 실리콘은 주로 상기 화학적 기상 증착 가스로부터 나오는, 상기 실리콘 함유 패시베이션 층을 증착하는 단계; 및
    상기 에칭 가스 및 상기 화학적 기상 증착 가스를 정지시키는 단계를 포함하는, 실리콘 층을 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 함유 패시베이션 층을 증착하는 단계는,
    상기 패시베이션 층 내의 실리콘 원자들의 상당한 부분이 상기 화학적 기상 증착 가스로부터 기원하도록 상기 실리콘 함유 패시베이션 층을 형성하기 위해 상기 화학적 기상 증착 가스로부터 실리콘 원자들을 제공하는 단계를 포함하는, 실리콘 층을 에칭하는 방법.
  3. 제 2 항에 있어서,
    상기 화학적 기상 증착 가스로부터 기원된 상기 패시베이션 층 내의 실리콘 원자들은 상기 패시베이이션 층 내의 전체 실리콘 원자들의 50 % 이상인, 실리콘 층을 에칭하는 방법.
  4. 제 3 항에 있어서,
    상기 화학적 기상 증착 가스로부터 기원된 상기 패시베이션 층 내의 실리콘 원자들은 상기 패시베이션 층 내의 전체 실리콘 원자들의 80 % 이상인, 실리콘 층을 에칭하는 방법.
  5. 제 4 항에 있어서,
    상기 화학적 기상 증착 가스로부터 기원된 상기 패시베이션 층 내의 실리콘 원자들은 상기 패시베이션 층 내의 전체 실리콘 원자들의 95 % 이상인, 실리콘 층을 에칭하는 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 실리콘 함유 패시베이션 층을 증착하는 단계는,
    SiOxCy 를 함유하는 상기 패시베이션 층을 증착하는 단계를 포함하고, 여기서 x 및 y 가 둘다 0 은 아닌, 실리콘 층을 에칭하는 방법.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 화학적 기상 증착 가스는 TEOS (tetora-ethyl-ortho-silicate) 증기를 포함하는, 실리콘 층을 에칭하는 방법.
  8. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 화학적 기상 증착 가스는 OMCTS (octa-methyl-cyclo-tetra-siloxane) 증기를 포함하는, 실리콘 층을 에칭하는 방법.
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 화학적 기상 증착 가스는 실란 증기, 실리케이트 증기, 또는 실록산 증기 중 적어도 하나를 포함하는, 실리콘 층을 에칭하는 방법.
  10. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 실리콘 층을 에칭하는 방법은 정상 상태에서 수행되는, 실리콘 층을 에칭하는 방법.
  11. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 실리콘 층을 에칭하는 방법은, 교번하는 증착 단계 및 에칭 단계를 포함하는 가스 조정 프로세스의 증착 단계에서 수행되는, 실리콘 층을 에칭하는 방법.
  12. 실리콘 층이 배치되는 에칭 챔버를 사용하여 실리콘 층 위에 형성된 패터닝된 마스크를 통해 상기 실리콘 층을 에칭하는 방법으로서,
    상기 실리콘 층 내로 피처들을 에칭하기 위해 플루오르 (F) 함유 에칭 가스를 업스트림 플라즈마 챔버 안으로 제공하는 단계;
    상기 업스트림 플라즈마 챔버 내에서 상기 에칭 가스로부터 플라즈마를 생성하는 단계;
    상기 플라즈마로부터 상기 에칭 챔버 안으로 반응 매개물을 유동시키는 단계;
    상기 반응 매개물이 실리콘 함유 화학적 증기를 포함하도록 실리콘 (Si) 함유 화학적 기상 증착 가스를 상기 에칭 챔버 안으로 제공하는 단계;
    바이어스 전압을 제공하는 단계;
    상기 반응 매개물을 이용하여 상기 실리콘 층 내로 피처들을 에칭하는 단계;
    에칭되는 상기 피처들의 측벽들 상에 실리콘 함유 패시베이션 층을 증착하는 단계로서, 상기 패시베이션 층 내의 실리콘은 주로 상기 화학적 기상 증착 가스에서 나오는, 상기 실리콘 함유 패시베이션 층을 증착하는 단계; 및
    상기 반응 매개물 및 상기 화학적 기상 증착 가스의 흐름을 정지시키는 단계를 포함하는, 실리콘 층을 에칭하는 방법.
  13. 패터닝된 마스크를 통해 실리콘 층 내에 피처들을 에칭하기 위한 장치로서,
    플라즈마 프로세싱 챔버로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽;
    상기 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하기 위한 기판 지지부;
    상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기;
    플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극;
    상기 적어도 하나의 전극에 전기적으로 접속된 적어도 하나의 RF 전력 소스;
    상기 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구; 및
    상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함하는, 상기 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 접속하는 가스 소스로서,
    에칭 가스 소스; 및
    화학적 기상 증착 가스 소스를 포함하는, 상기 가스 소스; 및
    상기 가스 소스, RF 바이어스 소스, 및 상기 적어도 하나의 RF 전력 소스에 제어 가능하게 접속된 제어기로서,
    적어도 하나의 프로세서; 및
    컴퓨터 판독가능 매체를 포함하고,
    상기 컴퓨터 판독가능 매체는 상기 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드를 포함하며,
    상기 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드는,
    상기 에칭 가스 소스로부터 상기 플라즈마 챔버 안으로 플루오르 (F) 함유 에칭 가스를 유동시키기 위한 컴퓨터 판독가능 코드;
    상기 화학적 기상 증착 가스 소스로부터 상기 플라즈마 챔버 안으로 실리콘 (Si) 함유 화학적 기상 증착 가스를 유동시키기 위한 컴퓨터 판독가능 코드;
    상기 에칭 가스 및 상기 화학적 기상 증착 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드;
    바이어스 전압을 제공하기 위한 컴퓨터 판독가능 코드;
    상기 실리콘 층 내로 피처들을 에칭하기 위한 컴퓨터 판독가능 코드;
    상기 패시베이션 층 내의 실리콘이 주로 상기 화학적 기상 증착 가스로부터 나오도록, 에칭되는 상기 피처들의 상기 측벽들 상에 실리콘 함유 패시베이션 층을 증착하기 위한 컴퓨터 판독가능 코드; 및
    상기 에칭 가스 및 상기 화학적 기상 증착 가스를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 제어기를 포함하는, 실리콘 층에 피처들을 에칭하기 위한 장치.
  14. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 화학적 기상 증착 가스는 TEOS (tetora-ethyl-ortho-silicate) 증기를 포함하는, 실리콘 층을 에칭하는 방법.
  15. 제 1 항 내지 제 6 항 및 제 14 항 중 어느 한 항에 있어서,
    상기 화학적 기상 증착 가스는 OMCTS (octa-methyl-cyclo-tetra-siloxane) 증기를 포함하는, 실리콘 층을 에칭하는 방법.
  16. 제 1 항 내지 제 6 항 및 제 14 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 화학적 기상 증착 가스는 실란 증기, 실리케이트 증기, 또는 실록산 증기 중 적어도 하나를 포함하는, 실리콘 층을 에칭하는 방법.
  17. 제 1 항 내지 제 6 항 및 제 14 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 실리콘 층을 에칭하는 방법은 정상 상태에서 수행되는, 실리콘 층을 에칭하는 방법.
  18. 제 1 항 내지 제 6 항 및 제 14 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 실리콘 층을 에칭하는 방법은 교번하는 증착 단계 및 에칭 단계를 포함하는 가스 조정 프로세스의 증착 단계에서 수행되는, 실리콘 층을 에칭하는 방법.
KR1020117009276A 2008-10-23 2009-10-09 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭 KR101758932B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/257,215 US9018098B2 (en) 2008-10-23 2008-10-23 Silicon etch with passivation using chemical vapor deposition
US12/257,215 2008-10-23
PCT/US2009/060218 WO2010047978A2 (en) 2008-10-23 2009-10-09 Silicon etch with passivation using chemical vapor deposition

Publications (2)

Publication Number Publication Date
KR20110074755A true KR20110074755A (ko) 2011-07-01
KR101758932B1 KR101758932B1 (ko) 2017-07-18

Family

ID=42117929

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117009276A KR101758932B1 (ko) 2008-10-23 2009-10-09 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭

Country Status (6)

Country Link
US (1) US9018098B2 (ko)
JP (1) JP5557843B2 (ko)
KR (1) KR101758932B1 (ko)
CN (1) CN102187437B (ko)
TW (1) TWI528447B (ko)
WO (1) WO2010047978A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160078477A (ko) * 2013-11-06 2016-07-04 도쿄엘렉트론가부시키가이샤 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
KR20190003979A (ko) * 2016-04-29 2019-01-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘의 화학적 에칭을 위한 방법들

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103258729B (zh) 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
JP5180121B2 (ja) * 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
PT2251453E (pt) 2009-05-13 2014-03-13 Sio2 Medical Products Inc Retentor de vaso
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
JP5913830B2 (ja) * 2011-04-21 2016-04-27 株式会社アルバック シリコン基板のエッチング方法
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
CN103972155A (zh) * 2013-02-05 2014-08-06 中微半导体设备(上海)有限公司 一种在硅基底刻蚀通孔的方法
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
CN105392916B (zh) 2013-03-11 2019-03-08 Sio2医药产品公司 涂布包装材料
EP2971227B1 (en) 2013-03-15 2017-11-15 Si02 Medical Products, Inc. Coating method.
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
EP3337915B1 (en) 2015-08-18 2021-11-03 SiO2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US11056722B2 (en) * 2018-02-08 2021-07-06 International Business Machines Corporation Tool and method of fabricating a self-aligned solid state thin film battery
US10679853B2 (en) 2018-02-08 2020-06-09 International Business Machines Corporation Self-aligned, over etched hard mask fabrication method and structure
TW202046395A (zh) * 2019-02-28 2020-12-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
CN112259474A (zh) * 2020-10-19 2021-01-22 上海华力集成电路制造有限公司 集成电路加工设备的等离子体源总成
CN114141997B (zh) * 2021-10-12 2023-09-29 维达力实业(深圳)有限公司 碳包覆含硅球体及其制备方法和应用

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3353492B2 (ja) 1994-10-18 2002-12-03 ソニー株式会社 シリコン材料のパターニング方法
US5843226A (en) 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6534409B1 (en) * 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
JPH10256260A (ja) 1997-03-11 1998-09-25 Sony Corp 高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法
US6127278A (en) 1997-06-02 2000-10-03 Applied Materials, Inc. Etch process for forming high aspect ratio trenched in silicon
JPH1197414A (ja) 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
JPH11111686A (ja) 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
US20020076935A1 (en) 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US5904520A (en) * 1998-01-05 1999-05-18 Utek Semiconductor Corp. Method of fabricating a CMOS transistor
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
TW412792B (en) 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
US6458648B1 (en) 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
US6491835B1 (en) 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
JP2001319925A (ja) 2000-05-12 2001-11-16 Chemitoronics Co Ltd プラズマエッチング装置
US6284666B1 (en) 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6387804B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. Passivation of sidewall spacers using ozonated water
US6680232B2 (en) 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
US6821884B2 (en) 2001-02-15 2004-11-23 Interuniversitair Microelektronica Centrum (Imec) Method of fabricating a semiconductor device
US6743727B2 (en) 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
JP2005508078A (ja) 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
AU2002367178A1 (en) 2001-12-27 2003-07-15 Kabushiki Kaisha Toshiba Etching method and plasma etching device
US7129178B1 (en) 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
US7169255B2 (en) 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
DE10209763A1 (de) 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
JP4048802B2 (ja) 2002-03-08 2008-02-20 富士電機デバイステクノロジー株式会社 トレンチ形成方法
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP4184851B2 (ja) 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7348245B2 (en) 2003-04-28 2008-03-25 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP4381963B2 (ja) 2003-11-19 2009-12-09 パナソニック株式会社 プラズマ処理装置
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
DE10361635B4 (de) * 2003-12-30 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
US7202170B2 (en) 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7135396B1 (en) * 2004-09-13 2006-11-14 Spansion Llc Method of making a semiconductor structure
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP4488999B2 (ja) 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ エッチング方法およびエッチング装置
KR100801308B1 (ko) 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR100763514B1 (ko) 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
US7829465B2 (en) 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
JP5177997B2 (ja) * 2006-11-22 2013-04-10 Sppテクノロジーズ株式会社 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160078477A (ko) * 2013-11-06 2016-07-04 도쿄엘렉트론가부시키가이샤 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
KR101880831B1 (ko) * 2013-11-06 2018-07-20 도쿄엘렉트론가부시키가이샤 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
KR20190003979A (ko) * 2016-04-29 2019-01-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘의 화학적 에칭을 위한 방법들

Also Published As

Publication number Publication date
CN102187437A (zh) 2011-09-14
JP5557843B2 (ja) 2014-07-23
TWI528447B (zh) 2016-04-01
TW201017751A (en) 2010-05-01
WO2010047978A3 (en) 2010-07-01
CN102187437B (zh) 2013-07-24
JP2012507145A (ja) 2012-03-22
KR101758932B1 (ko) 2017-07-18
WO2010047978A2 (en) 2010-04-29
US20100105208A1 (en) 2010-04-29
US9018098B2 (en) 2015-04-28

Similar Documents

Publication Publication Date Title
KR101758932B1 (ko) 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭
KR101711671B1 (ko) 플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭
KR101941827B1 (ko) 실리콘 식각을 위한 무기의 급속 교번 프로세스
KR101564473B1 (ko) 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법
KR101555725B1 (ko) 마이크로로딩을 제어하기 위한 펄스화된 바이어스 플라즈마 프로세스
TW201330088A (zh) 三維快閃結構用之蝕刻製程
KR20100106347A (ko) 산화물 스페이서를 이용한 피치 감소
KR20090107055A (ko) 에칭 동안 라인 말단 단축의 감소 방법
TW202025212A (zh) 低溫高品質的介電膜
TWI552221B (zh) 高蝕刻速率之提供方法
TWI630653B (zh) 具有預蝕刻暫態調節之蝕刻過程
TWI576909B (zh) 絕緣層上矽蝕刻
TW201903897A (zh) 用於半導體處理之矽基沉積

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant