KR101711671B1 - 플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭 - Google Patents

플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭 Download PDF

Info

Publication number
KR101711671B1
KR101711671B1 KR1020117009275A KR20117009275A KR101711671B1 KR 101711671 B1 KR101711671 B1 KR 101711671B1 KR 1020117009275 A KR1020117009275 A KR 1020117009275A KR 20117009275 A KR20117009275 A KR 20117009275A KR 101711671 B1 KR101711671 B1 KR 101711671B1
Authority
KR
South Korea
Prior art keywords
gas
etching
plasma
containing gas
silicon layer
Prior art date
Application number
KR1020117009275A
Other languages
English (en)
Other versions
KR20110084408A (ko
Inventor
야로슬라프 더블유 위니첵
로버트 피 체비
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110084408A publication Critical patent/KR20110084408A/ko
Application granted granted Critical
Publication of KR101711671B1 publication Critical patent/KR101711671B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘 층 위에 형성된 패터닝된 마스크를 통해 실리콘 층을 에칭하기 위한 방법 및 장치가 제공된다. 실리콘 층은 에칭 챔버 내에 배치된다. 플루오르 함유 가스, 및 산소 및 수소 함유 가스를 포함하는 에칭 가스가 에칭 챔버 안으로 제공된다. 에칭 가스로부터 플라즈마가 생성되고, 이 플라즈마를 이용하여 실리콘 층 내로 피처들이 에칭된다. 그 후, 에칭 가스가 정지된다. 플라즈마는 OH 라디컬들을 함유할 수도 있다.

Description

플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭{SILICON ETCH WITH PASSIVATION USING PLASMA ENHANCED OXIDATION}
본 발명은 반도체 디바이스의 형성에 관한 것이다. 보다 구체적으로, 본 발명은 실리콘 재료 안에 피처들을 에칭하는 것에 관한 것이다.
이방성 에칭을 이용하여 비아 홀들 및 트렌치들과 같은 피처들이 실리콘 기판에 형성되며, 여기서 피처들의 측벽들은 그 위에 형성된 패시베이션 층에 의해 에칭 반응으로부터 보호된다. 에칭 가스는 통상적으로 화학적 에칭을 위한 할로겐 가스 (예컨대 SF6) 및 패시베이션을 위한 산소 (O2) 가스를 함유한다. 패시베이션 층은 통상적으로 피처 측벽들의 산화에 의해 형성된 실리콘 산화물 (SiOx-계 막) 을 함유하는 산화막이다. 패시베이션 층의 조성은 에칭 화학물질 및 마스크 재료에 의해 영향을 받을 수도 있다. 너무 많은 측벽 패시베이션은 핀치오프 (pinch-off) 를 야기할 수도 있고, 너무 적은 측벽 패시베이션은 보잉 (bowing), 언더컷 및 CD (임계 치수) 악화를 야기할 수도 있다.
깊은 피처들은 또한, "신속하게 교번하는" 플라즈마 에칭 프로세스 (가스 변화 프로세스) 의 이용에 의해 실리콘 기판에 형성될 수도 있고, 플라즈마 에칭 프로세스는 교번하는 플라즈마 에칭 사이클 및 증착 (패시베이션) 사이클의 신속한 반복을 이용한다. 일반적으로, SF6 및 C4F8 은 각각 에칭 사이클 및 증착 사이클을 위한 주요 프로세스 가스들이다. 방향성 에칭을 달성하도록 C4F8 패시베이션 사이클 동안 측벽 보호 폴리머 층이 증착된다. SF6 에칭 사이클 동안, 패시베이션 폴리머는 이온-강화된 에칭에 의해 수평 면들 (예컨대, 비아들의 하부) 로부터 제거되고, 그 후 실리콘은 프리 플루오르 (free fluorine) 에 의해 노출된 영역에서부터 등방성으로 에칭된다.
가스 변화 프로세스에서, 플라즈마 프로세싱 리액터에 공급된 프로세스 가스들은 신속하게 토글링 온 및 토글링 오프되고, 결과적으로 실리콘이 웨이퍼로부터 제거되는 에칭 컨디션으로부터, 재료가 기판 위에 증착되고 실리콘이 제거되지 않는 증착 컨디션으로, 그리고 그 후 다시 에칭 컨디션으로 빠르게 변한다. 통상적으로, 교번하는 사이클들의 지속기간은 비교적 짧고, 통상적으로 실리콘 기판 안에 원하는 깊이를 달성하기 위해 다수의 사이클들이 요구된다.
앞서 말한 것을 달성하기 위해서 본 발명의 목적에 따르면, 일 실시형태에서, 실리콘 층 위에 형성된 패터닝된 마스크를 통해 실리콘 층을 에칭하는 방법이 제공된다. 에칭 챔버 내에 실리콘 층이 배치된다. 플루오르 함유 가스, 및 산소 및 수소 함유 가스를 포함하는 에칭 가스가 에칭 챔버 안으로 제공된다. 에칭 가스로부터 플라즈마가 생성되고, 이 플라즈마를 이용하여 실리콘 층 내로 피처들이 에칭된다. 에칭 가스는 그 후 정지된다. 플라즈마는 OH 라디컬을 함유할 수도 있다.
본 발명의 다른 표명에서, 다운스트림 플라즈마를 이용하여 실리콘 층 위에 형성된 패터닝된 마스크를 통해 실리콘 층을 에칭하는 방법이 제공된다. 에칭 챔버 내에 실리콘 층이 배치된다. 플루오르 함유 가스를 포함하는 에칭 가스가 업스트림 플라즈마 챔버 안으로 제공된다. 에칭 가스로부터 플라즈마가 생성된다. 플라즈마로부터의 반응 매개물이 에칭 챔버 안으로 도입되고, 반응 매개물이 OH 라디컬을 함유하도록 산소 및 수소를 함유하는 패시베이션 가스가 또한 에칭 챔버 안으로 제공된다. 반응 매개물을 이용하여 실리콘 층 내로 피처들이 에칭된다. 반응 매개물 및 패시베이션 가스가 그 후 정지된다. 패시베이션 가스는 수증기 또는 알콜 중 적어도 하나를 함유할 수도 있다.
본 발명의 다른 표명에서, 패터닝된 마스크를 통해 실리콘 층 내로 피처들을 에칭하기 위한 장치가 제공된다. 장치는 플라즈마 프로세싱 챔버, 에칭 가스 소스, 및 제어기를 포함한다. 플라즈마 프로세싱 챔버는 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하기 위한 기판 지지부, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극, 적어도 하나의 전극에 전기적으로 접속된 적어도 하나의 RF 전력 소스, 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함한다. 에칭 가스 소스는 가스 유입구와 유체 접속하고, 플루오르 함유 가스 소스, 및 산소 및 수소 함유 가스 소스를 포함한다. 제어기는 가스 소스, RF 바이어스 소스, 및 적어도 하나의 RF 전력 소스에 제어 가능하게 접속된다. 제어기는 적어도 하나의 프로세서, 및 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드를 포함하는 컴퓨터 판독가능 매체를 포함한다. 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드는 (a) 플루오르 함유 가스 소스로부터 플라즈마 챔버 안으로 플루오르 함유 가스를 유동시키기 위한 컴퓨터 판독가능 코드, (b) 산소 및 수소 함유 가스 소스로부터 플라즈마 챔버 안으로 산소 및 수소 함유 가스를 유동시키기 위한 컴퓨터 판독가능 코드, (c) 플루오르 함유 가스, 및 산소 및 수소 함유 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드, (d) 바이어스 전압을 제공하기 위한 컴퓨터 판독가능 코드, (e) 실리콘 층 내로 피처들을 에칭하기 위한 컴퓨터 판독가능 코드, 및 (f) 플루오르 함유 가스, 및 산소 및 수소 함유 가스를 정지시키기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이들 및 다른 특성들은 본 발명의 상세한 설명 및 이하의 도면들과 관련되어 이하에서 보다 상세히 설명될 것이다.
본 발명은 첨부된 도면들의 수치에서 제한의 방식이 아닌 예시의 방식에 의해 도시되고, 도면에서 동일한 참조 부호는 동일한 엘리먼트들을 가리킨다.
도 1 은 본 발명의 일 실시형태에 따라 실리콘 층을 에칭하는 프로세스의 하이 레벨 흐름도이다.
도 2 는 본 발명의 일 실시형태에 따라, 피처가 에칭되는 실리콘 층의 단면도의 예를 개략적으로 나타낸다.
도 3 은 본 발명의 일 실시형태를 수행하기 위해 이용될 수도 있는 플라즈마 프로세싱 시스템의 예의 개략도이다.
도 4 는 본 발명의 실시형태에 이용된 제어기를 구현하기에 적합한 컴퓨터 시스템을 나타낸다.
도 5 는 본 발명의 다른 실시형태에 따른 실리콘 층의 에칭 프로세스의 하이 레벨 흐름도이다.
도 6 은 본 발명의 일 실시형태를 수행하기 위해 이용될 수도 있는 다운스트림 플라즈마 프로세싱 시스템의 예의 개략도이다.
본 발명은 첨부된 도면들에 도시된 본 발명의 몇몇 바람직한 실시형태들을 참조하여 상세히 설명될 것이다. 이하의 상세한 설명에서, 본 발명의 전체 이해를 제공하기 위해서 다수의 특정 상세들이 설명된다. 그러나, 본 발명은 이들 특정 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 경우, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위해서 상세히 설명되지 않았다.
전술된 바와 같이, 피처들의 이방성 에칭을 달성하도록 측면 에칭으로부터 피처들의 측벽들을 보호하기 위해서 실리콘 에칭에 측벽 패시베이션이 이용된다. 예를 들어, 실질적으로 수직의 프로파일은 실리콘 에칭 프로세스 동안 적절한 측벽들을 형성함으로써 획득될 수 있다. 종래의 정상 상태 실리콘 에칭에서, 측벽 패시베이션 층은 실리콘 피처 측벽의 산화에 의해 형성된다. 결과의 패시베이션 층은 통상적으로 실리콘 산화막이다. 한편, 가스 변화 프로세스에서, 측벽 패시베이션 층은 증착 단계 동안 카본 함유 가스, 예컨대 C4F8 로부터 형성된 플라즈마를 이용하여 증착되는 한편, 실리콘 층은 후속하는 에칭 단계에서 플루오르 함유 가스, 예컨대 SF6 으로부터 형성된 플라즈마를 이용하여 에칭되고, 여기서 증착 단계 및 에칭 단계는 신속하게 교번된다. 결과의 패시베이션 층은 통상적으로 폴리머이다.
출원인은 SF6 과 같은 플루오르 함유 가스를 이용하는 에칭 프로세스 동안 피처 측벽을 보호하도록 산화물계 패시베이션 층을 형성하기 위해서 패시베이션 가스로서 O2, SO2, CO2, CO 와 같은 산소 함유 가스를 이용해왔다. 측벽 패시베이션 층은 (O2 가 이용되는 경우) SiO2 를 함유하고; (SO2 가 이용/첨가되는 경우) SiOx 를 함유하고/하거나; (CO2 및/또는 CO 가 이용/첨가되는 경우) SiC 또는 SiOC 를 함유한다. N2O 또는 NO2 이 또한 이용 또는 첨가될 수도 있고, 이것은 SiN 또는 SiON 를 더 함유하는 패시베이션 층을 초래한다. 다른 가스들, 예컨대 B2H6, BCl3 이 또한 추가될 수도 있고, 여기서 패시베이션 층은 또한 SiOBN 또는 SiBN 을 함유할 수도 있다. 설계 요건을 충족시키기에 충분히 얇고 또한 피처 측벽을 보호하기에 충분히 강하고 내구성이 있는 패시베이션 층을 만들기 위해서, 출원인들은 새로운 패시베이션 가스 및 결과의 새로운 패시베이션 층을 개발하고 있다.
본 발명의 실시형태에 따르면, 측벽 패시베이션 층의 조성은, 패이베이션 층이 SiOH, 또는 더 일반적으로 SiOxHy (여기서 x ≥ 1 이고 y ≥ 1) 를 함유하도록 OH 라디컬을 이용하여 변경된다. 플라즈마에서 OH 라디컬을 보호하기 위해서, 패시베이션 가스는 산소 및 수소를 함유한다. 예를 들어, 패시베이션 가스는 수증기 및/또는 알콜을 포함한다. 패시베이션 가스가 알콜을 함유하는 경우, 패시베이션 층은 SiCOH 및/또는 SiOC, 또는 더 일반적으로 SiCnOxHy 를 더 함유할 수도 있고, 여기서 n ≥ 0, x ≥ 1, y ≥ 0 이고, n 및 y 가 둘다 0 은 아니다. 수증기 또는 알콜 증기 (OH 라디컬) 을 이용하는 실리콘의 산화 (패시베이션 층의 성장) 는 산소 (O 타입 라디컬) 를 이용하는 것보다 빠르다. 패시베이션 층은 또한 SiOx 를 함유할 수도 있다.
이해를 용이하게 하기 위해서, 도 1 은 본 발명의 일 실시형태에 이용된 프로세스의 하이 레벨 흐름도이고, 여기서 실리콘 층은 에칭 챔버를 이용하여 실리콘 층 위에 형성된 패터닝된 마스크를 통해 에칭된다. 플루오르 (F) 함유 가스, 및 산소 (O) 및 수소 (H) 함유 가스를 포함하는 에칭 가스가 실리콘 층이 배치되는 에칭 챔버 안으로 제공된다 (단계 102). 예를 들어, 플루오르 함유 가스는 SF6 을 함유한다. 플루오르 함유 가스는 SiF4 를 더 함유할 수도 있다. 또한, 플루오르 함유 가스는 NF3 또는 CF4 일 수도 있고, 또는 SF6, NF3, SiF4 및/또는 CF4 의 조합일 수도 있다. 다른 할로겐-함유 가스가 또한 에칭 가스에 추가될 수도 있다. 패시베이션 가스로서 작용하는 산소 및 수소 함유 가스는 본 발명의 일 실시형태에 따른 수증기이다. 산소 및 수소 함유 가스는 또한 알콜 (CnH2n -1-OH) 일 수도 있다. 또 다른 실시형태에서, 산소 및 수소 함유 가스는 수증기 및 알콜을 함유할 수도 있다. 에칭 가스는 O2, 및/또는 CO2 또는 CO 중 적어도 하나를 더 함유할 수도 있다. 또한, CO, CO2, 물, 및/또는 알콜에 추가하여 케톤들 (예컨대, 아세톤, CH3CO-CH3) 이 패시베이션 가스로서 이용될 수도 있다. 또한, 알데히드 (터미널 카르보닐기 -CHO 함유), (일반 구조 R-COO-R'를 갖는 (여기서, R' 은 알킬기이고, R 은 카르복실레이트기)) 에스테르, 및 (일반 구조 R-O-R 을 갖는) 에테르와 같은 다른 화학물질. 특정 반응 효과를 제공하기 위해서 캐리어 가스 및/또는 희석 가스가 화학물질에 추가될 수도 있다.
본 발명의 일 실시형태에 따르면, 패시베이션 가스는 증발에 의해 액체 전구체 (물 또는 액체 알콜) 로부터 생성될 수도 있다. OH 라디컬 (또는 수증기) 은 또한 다운스트림 플라즈마 리액터를 이용하여 고온의 O2 가스 및 H2 가스로부터 생성될 수도 있다. 패시베이션 가스 (수증기 또는 알콜) 는 에칭 챔버 안으로 도입되기 전에 플루오르 함유 가스와 혼합될 수도 있다. 다르게는, 플루오르 함유 가스 및 패시베이션 가스는 개별의 가스 유입구로부터 플라즈마가 생성되는 에칭 챔버 안으로 도입될 수도 있다.
도 1 을 참조하면, 플루오르 함유 가스, 및 산소와 수소 함유 패시베이션 가스 (예를 들어, 수증기 및/또는 알콜) 를 함유하는 에칭 가스로부터 플라즈마가 생성된다 (단계 104). 플라즈마에서, 수증기는 수산기 라디컬 (OH) 를 제공하고, 알콜은 수산기 (OH) 를 제공한다. "수산기 (hydroxyl)" 는 통상적으로, 그것이 유기 화합물에서 치환기일 때 작용기 -OH 를 설명하는데 이용된다. 본 상세한 설명 및 청구범위에서, "수산기" 또는 "수산기 라디컬 (hydroxyl radical)" 은 (무기 화합물 또는 물로부터의) 수산기 라디컬들 및 (유기 화합물 또는 알콜로부터의) 수산기들 둘다를 의미한다.
바이어스 전압이 제공되고 (단계 106), 플라즈마를 이용하여 실리콘 층 내로 피처들이 에칭된다 (단계 104). 이해를 용이하게 하기 위해서, 도 2 는 피처가 에칭되는 실리콘 층 (200) 의 단면의 예를 도시한다. 실리콘 층 (200) 은 실리콘 웨이퍼일 수도 있다. 실리콘 재료는 결정 실리콘, 폴리실리콘, 또는 비정질 실리콘일 수도 있다. 실리콘 재료는 또한 도핑된 또는 인장된 실리콘일 수도 있다. 패터닝된 마스크 (202) 는 실리콘 층 (200) 위에 제공되고, 이것은 실리콘 재료 상에 피처 (204) 를 정의한다. 마스크 (202) 는 포토레지스트 (PR) 마스크 또는 하드 마스크 (산화물) 일 수도 있다. 마스크 (202) 는 또한, 이전 단계들 (미도시) 에서 에칭되었을 수도 있는 도전 층 및/또는 유전체 층들과 같은 마스크 아래의 다른 층들을 포함할 수도 있다. 실리콘 재료 안에 에칭된 피처 (204) 는 도 2 에 도시된 바와 같은 수직 (즉, 실질적으로 90 도) 의 프로파일 각도를 가질 수도 있다. 피처 (204) 는 애플리케이션에 따라 테이퍼링된 프로파일 (즉, 90 도 미만의 프로파일 각도) 을 가질 수도 있다. 실리콘 에칭은, 피처들이 5 마이크론 내지 400 마이크론의 범위의 깊이까지 에칭되는 깊은 실리콘 에칭일 수도 있는 반면에, 경쟁하는 COMS 디바이스의 층들의 통상적인 두께는 3 내지 5 마이크론이다. 본 발명은, 특히 고 애스펙트비를 갖는 깊은 실리콘 에칭에 적합하다. 예를 들어, 피처들의 애스펙트비는 최소 80 일 수도 있고, 또는 다르게는 피처들의 깊이는 최소 80 μm 일 수도 있다.
본 발명의 실시형태에 따르면, 측벽 패시베이션 층 (210) 은 OH 라디컬을 이용하여 형성되고, SiOx-계 패시베이션 층들과 비교하여 그 조성이 변경된다. 실리콘의 산화는 O 타입 라디컬보다 OH 라디컬을 이용하는 것이 더 빠른 것으로 보인다. 본 발명의 실시형태들의 패시베이션 층들은 SiOH 를 함유한다. 패시베이션 층은 또한 SiOx 를 함유할 수도 있다. 보다 구체적으로, 패시베이션 층은 SiOxHy (여기서 x ≥ 1 이고 y ≥ 1) 를 함유한다. 알콜이 이용되는 경우, 패시베이션 층은 SiCOH 및/또는 SiOC 를 더 함유할 수도 있다. 보다 구체적으로, 패시베이션 층은 SiCnOxHy 를 함유하고, 여기서 n ≥ 0, x ≥ 1, y ≥ 0 이고, n 및 y 가 둘다 0 은 아니다.
또한, SiOH (SiOxHy 또는 SiCnOxHy) 를 함유하는 패시베이션 층은 SiOH 성분들이 없는 종래의 SiOx-계 패시베이션 층보다 더 얇고 더 강하다 (내구성이 있다). 에칭 프로세스 동안, 패시베이션은 피처 (204) 의 측벽 (206) 및 하부 (208) 에 발생한다. 바이어스 전압이 제공되기 때문에 (도 1, 단계 106), 대전된 입자들 (이온들) 은 피처의 하부 (208) 에 충격을 가하지만, 측벽 (206) 상에는 충격을 가하지 않거나 덜 가한다. 따라서, 측벽 상에, 패시베이션 층 (210) 의 형성이 계속되어 에천트 (F) 라디컬로부터 측벽 (206) 을 보호한다. 한편, 피처의 하부 (208) 에서, 패시베이이션 층은 형성되는 동시에 이온 보조 에칭에 의해 제거되고 있으며, 노출된 실리콘은 라디컬에 의해 에칭된다. SiOxHy 및/또는 SiCnOxHy 를 함유하는 패시베이션 층 (210) 은 라디컬에 의해 쉽게 에칭되지 않고, 제거하기 위한 이온 충격을 필요로 한다.
원하는 피처가 에칭된 (단계 108) 후에, 에칭 가스가 정지된다 (단계 110).
전술된 바와 같이, SF6 과 같은 플루오르 함유 가스, 및 산소 및 수소 함유 가스 (패시베이션 가스) 를 포함하는 에칭 가스를 이용하여, 연속적인, 비-교번의 에칭 프로세스 (정상 상태) 로 높은 에칭 효율성 및 원하는 프로세스 유연성이 달성될 수도 있다. 공급 가스 흐름 설정 포인트들이 프로세스 동안 변할 수 있더라도 (예를 들어, 상위 값에서 하위 값으로 램프됨 (ramped), 또는 그 반대로 램프됨), 에칭 가스 흐름은 토글링 온 및 토글링 오프되지 않기 때문에, 프로세스는 계속되고, 가스 공급기는 피처 (204) 가 실리콘 층 (200) 안에 에칭되는 동안 계속된다. 프로세스는 "에칭" 컨디션으로부터 "증착" 컨디션으로 변하지 않기 때문에 비-교번이다; 차라리, 실리콘의 에칭 및 에칭의 금지 (패시베이션) 가 에칭 프로세스 동안 동시에 발생한다. 이러한 연속적인 프로세스의 에칭 효율성은, 실리콘이 전체 프로세스 시간의 100% 동안 제거되지 않기 때문에 신속하게 교번하는 프로세스를 통해 상당히 개선될 수도 있다. 또한, 가스 흐름이 연속적이기 때문에, 가스 흐름 제어기와 같은 표준 하드웨어가 사용되고, 이에 따라 프로세스를 지원하기 위해 필요한 시스템의 비용 및 복잡성이 감소될 수도 있다.
정상 상태 실리콘 에칭 프로세스의 예는 2500 W 의 TCP 전력, 250V 바이어스 전압으로 SF6 and H2O 증기를 포함하는 에칭 가스를 이용한다. 에칭 가스 흐름은 800 sccm SF6 및 300 sccm H2O 증기를 포함할 수도 있다. 에칭 가스 흐름은 80 mTorr 에서 80 sccm O2, 및 50 sccm CO 를 포함할 수도 있다. 다르게는, 알콜이 이용되는 경우, 화학물질은 다음을 포함한다: 800 sccm SF6 및 300 sccm C2H5OH (에탄올) 또는 CH3OH (메탄올) 증기. 에칭 가스 흐름은 80 mTorr 에서 120 sccm O2, 및 50 sccm CO 를 포함할 수도 있다. 웨이퍼 기판 온도는 0 ℃ 로 설정된다. 또한, CO, CO2, 및 알콜의 이용에 추가하여, 케톤 (예컨대 아세톤, CH3CO-CH3) 이 또한 이용될 수도 있다.
또한, 프로세스 성능 및 연속적인 에칭 프로세스의 유연성은 연속적인 에칭 프로세스 동안 통상의 프로세스 파라미터들, 예컨대 플라즈마 전력, 웨이퍼 바이어스 전력, 프로세스 챔버 압력, 등을 변경함으로써 향상될 수도 있다. 예를 들어, 플라즈마 전력 공급기, 및/또는 웨이퍼 바이어스 전압은 웨이퍼에 도달하는 대전된 반응성 플라즈마 성분들에 대한 중성의 반응성 플라즈마 성분의 비율을 균형잡기 위해서 온/오프 또는 하이/로우 방식으로 펄싱 (pulse) 될 수도 있다. 다른 예로, 플라즈마 전력, 웨이퍼 바이어스 전력, 및/또는 플라즈마 프로세싱 챔버 내의 압력은 연속적인 에칭 프로세스 동안 높은 값에서 낮은 값으로, 또는 낮은 값에서 높은 값으로 램핑 (ramp) 될 수도 있다.
도 3 은 본 발명의 일 실시형태에 따라 실리콘 층을 에칭하는 프로세스를 수행하기 위해 이용될 수도 있는 플라즈마 프로세싱 시스템 (300) 의 예를 개략적으로 도시한다. 플라즈마 프로세싱 시스템 (300) 은 그 안에 플라즈마 프로세싱 챔버 (304) 를 갖는 플라즈마 리액터 (302) 를 포함한다. 정합 네트워크 (308) 에 의해 조정된 플라즈마 전력 공급기 (306) 는 윈도우 (312) 부근에 위치한 TCP 코일 (310) 에 전력을 공급하여 플라즈마 프로세싱 챔버 (304) 내에 플라즈마 (314) 를 생성한다. TPC 코일 (상부 전력 소스)(310) 은 프로세싱 챔버 (304) 내에 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TPC 코일 (310) 은 플라즈마 (314) 에서 환상의 (toroidal) 전력 분배를 생성하도록 구성될 수도 있다. 에너지가 TPC 코일 (310) 로부터 플라즈마 챔버 (304) 로 패스되는 것을 허용하면서 윈도우 (312) 는 플라즈마 챔버 (304) 로부터 TPC 코일 (310) 을 분리하도록 제공된다. 정합 네트워크 (318) 에 의해 조정된 웨이퍼 바이어스 전압 전력 공급기 (316) 는 전극 (320) 에 전력을 제공하여, 전극 (320) 에 의해 지지되는 웨이퍼 (322) 에 대해 바이어스 전압을 설정한다. 제어기 (324) 는 플라즈마 전력 공급기 (306) 및 웨이퍼 바이어스 전압 공급기 (316) 에 대한 포인트를 설정한다.
플라즈마 전력 공급기 (306) 및 웨이퍼 바이어스 전압 전력 공급기 (316) 는, 예를 들어 13.56 MHz, 27 MHz, 2 MHz, 400 kHz, 또는 이들의 조합과 같은 특정 무선 주파수에서 동작하도록 구성될 수도 있다. 플라즈마 전력 공급기 (306) 및 웨이퍼 바이어스 전력 공급기 (316) 는 원하는 프로세스 성능을 달성하기 위해서 일 범위의 전력을 공급하도록 적절히 사이징될 수도 있다. 예를 들어, 본 발명의 일 실시형태에서, 플라즈마 전력 공급기 (306) 는 300 내지 10000 와트의 범위의 전력을 공급할 수도 있고, 웨이퍼 바이어스 전압 전력 공급기 (316) 는 10 내지 1000 V 의 범위의 바이어스 전압을 공급할 수도 있다. 또한, TPC 코일 (310) 및/또는 전극 (320) 은 2 이상의 서브 코일들 또는 서브 전극들로 이루어질 수도 있고, 서브 코일들 및 서브 전극들은 단일 전력 공급기에 의해 전력을 공급받거나 다수의 전력 공급기들에 의해 전력을 공급받을 수도 있다.
도 3 에 도시된 바와 같이, 플라즈마 프로세싱 시스템 (300) 은 가스 소스/가스 공급 메커니즘 (330) 을 더 포함한다. 가스 소스는 플루오르 함유 가스 소스 (332), 패시베이션 가스 소스 (산소 및 수소 함유 가스 소스)(334), 및 선택적으로 추가의 가스 소스 (336) 를 포함한다. 가스 소스들 (332, 334, 및 336) 은 가스 유입구 (340) 를 통해 프로세싱 챔버 (304) 와 유체 접속한다. 가스 유입구 (340) 는 챔버 (304) 내의 임의의 유리한 로케이션에 위치할 수도 있고, 단일의 노즐 또는 샤워헤드와 같이 가스를 주입하기 위한 임의의 형태를 취할 수도 있다. 그러나, 바람직하게, 가스 유입구 (340) 는 "조정 가능한" 가스 주입 프로파일을 생성하도록 구성될 수도 있고, 이 프로파일은 프로세스 챔버 (304) 내의 다수의 구역들로의 가스들의 각각의 흐름의 독립적인 조정을 허용한다. 프로세스 가스 및 부산물은 압력 제어 밸브 (342) 및 펌프 (344) 를 통해 챔버 (304) 로부터 제거되고, 이는 또한 플라즈마 프로세싱 챔버 (304) 내의 특정 압력을 유지하게 한다. 가스 소스/가스 공급 메커니즘 (330) 은 제어기 (324) 에 의해 제어된다.
플라즈마 프로세싱 시스템 (300) 은 또한, 윈도우 (312) 전체에 걸쳐 온도 기울기를 감소시키고 윈도우 (312) 의 전체 동작 온도를 감소시키도록 윈도우 냉각 시스템 (미도시) 을 포함할 수도 있다.
도 4 는 제어기 (324)(도 3) 를 구현하기에 적합한 컴퓨터 시스템 (400) 의 블록도를 개략적으로 도시하고, 이 제어기는 본 발명의 하나 이상의 실시형태에서 사용될 수도 있다. 컴퓨터 시스템 (400) 은 집적 회로, 인쇄 회로 기판, 및 소형의 핸드헬드 디바이스에서부터 거대 슈퍼 컴퓨터에 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (400) 에서, 시스템 버스 (420) 에는 다양한 서브시스템들이 부착된다. 프로세서(들) (422)(중앙 처리 장치, 또는 CPU 로도 지칭됨) 은 시스템 메모리 (424) 를 포함하는 저장 디바이스들에 커플링된다. 메모리 (424) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 을 포함한다. 당해기술 분야에 잘 알려진 것으로서, ROM 은 데이터 및 명령들을 일 방향으로 CPU 로 전송하도록 작용하고, RAM 은 통상적으로 데이터 및 명령들을 양 방향 방식으로 전송하도록 사용된다. 이들 유형의 메모리들 모두는 후술되는 임의의 적합한 종류의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 또한, CPU (422) 에 양 방향으로 커플링되고; 추가의 데이터 저장 용량을 제공하고, 또한 후술되는 임의의 컴퓨터 판독 가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 프로그램, 데이터, 등을 저장하는데 사용될 수도 있고, 통상적으로 프라이머리 저장장치보다 더 느린 세컨더리 저장 매개물 (예컨대, 하드 디스크) 이다. 적절한 경우, 고정 디스크 (426) 내에 보유된 정보는 메모리 (424) 내의 가상 메모리와 같은 표준 방식으로 포함될 수도 있다. 착탈형 디스크 (414) 는 디스크 드라이브 (428) 를 통해 이용되어 컴퓨터 시스템 (400) 으로 그리고 컴퓨터 시스템으로부터 데이터를 전송할 수도 있다. 착탈형 디스크 (414) 는 후술되는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다. USB 플래시 드라이브와 같은 휴대용 메모리 (434) 가 또한 시리얼 포트 (432) 를 통해 사용될 수도 있다.
CPU (422) 는 또한, 다양한 입/출력 디바이스들, 예컨대 디스플레이 (424), 키보드 (410), 컴퓨터 마우스와 같은 사용자 포인팅 디바이스 (412), 스피커 (430), 및 입/출력 (I/O) 제어기 (436) 에 커플링된다. 일반적으로, 입/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치-감지형 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 페이퍼 테이프 판독기, 태블릿, 스타일러스, 음성 또는 필체 인식기, 바이오메트릭 판독기, 또는 다른 컴퓨터 중 어느 일방일 수도 있다. CPU (422) 는 네트워크 인터페이스 (440) 를 이용하여 다른 컴퓨터 또는 전자통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스를 이용하여, CPU (422) 는 네트워크로부터 정보를 수신할 수도 있고, 또는 전술된 방법 단계들을 수행하는 과정에서 네트워크로 정보를 출력할 수도 있는 것으로 생각된다. 더욱이, 본 발명의 방법 실시형태들은 단지 CPU (422) 상에서 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 관련하여 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
컴퓨터 시스템 (400) 은 플라즈마 프로세싱 시스템을 진단하고 플라즈마 프로세스를 제어하기 위해서, 가스 흐름, 압력, 온도, 전력 등과 같은 관련 있는 프로세스 데이터를 수집 및 저장하도록 구성될 수도 있다.
또한, 본 발명의 실시형태들은 각종 컴퓨터 구현된 동작들을 수행하기 위해 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품에 관한 것이다. 매개물 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있고, 또는 컴퓨터 소프트웨어 분야에서 당업자에게 잘 알려져 있고 이용 가능한 종류일 수도 있다. 유형의 컴퓨터 판독가능 매체의 예들로는, 비 제한적으로, 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그램 디바이스와 같은 광 매체; 플로피 디스크와 같은 자기-광 매체; 및 주문형 집적 회로 (ASIC), 프로그래머블 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장 및 실행하도록 특별히 구성되는 하드웨어 디바이스가 있다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 바와 같은 머신 코드, 및 해석 프로그램을 이용하여 컴퓨터에 의해 실행되는 상위 레벨의 코드를 포함하는 파일들를 포함한다. 컴퓨터 판독가능 매체는 또한 반송파에서 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행 가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 발명의 일 실시형태에 따르면, 다운스트림 플라즈마 챔버는 실리콘 층을 에칭하기 위해 사용되고, 패시베이션 가스 흐름은 플라즈마의 다운스트림으로 도입될 수도 있다. 도 5 는 본 발명의 일 실시형태에 이용된 프로세스를 개략적으로 나타내고, 여기서 실리콘 층은 다운스트림 플라즈마 챔버를 사용하여 그 위에 형성된 패터닝된 마스크를 통해 에칭된다. 도 6 은 다운스트림 플라즈마 프로세싱 시스템 (600) 의 일 예를 개략적으로 나타내고, 이 시스템은 본 발명의 일 실시형태에 따라 실리콘 층을 에칭하는 프로세스를 수행하기 위해 이용될 수도 있다.
도 6 에 도시된 바와 같이, 다운스트림 플라즈마 프로세싱 시스템 (600) 은 에칭 챔버 (602) 및 업스트림 플라즈마 챔버 (610) 를 포함한다. 정합 네트워크 (608) 에 의해 조정된 플라즈마 전력 공급기 (606) 는 에칭 챔버 (602) 의 상부에 위치한 업스트림 플라즈마 챔버 (610) 에 전력을 공급한다. 업스트림 플라즈마 챔버 (610) 는 RF 전력 또는 마이크로파를 이용하여 플라즈마 (612) 를 에너자이징할 수도 있다. 반응 매개물 (650) 은 포트 (652) 를 통해 플라즈마 (612) 로부터 에칭 챔버 (602) 로 유동한다. 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 전력 공급기 (616) 는 플라즈마 및 바이어스 전압을 제공한다. 정합 네트워크 (618) 에 의해 조정되는 전력 공급기 (616) 는 웨이퍼가 위치되는 전극 (620) 에 전력을 제공하여, 웨이퍼 위에 플라즈마를 제공하고, 전극 (620) 에 의해 지지되는 웨이퍼 (622) 에 대해 바이어스 전압을 설정한다. 제어기 (624) 는 업스트림 플라즈마 전력 공급기 (606) 및 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 공급기 (616) 에 대한 포인트를 설정한다. 제어기 (624) 는 전술된 컴퓨터 시스템 (400)(도 4a 및 도 4b) 을 이용하여 구현될 수도 있다. 또한, 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 전력 공급기 (606) 는 하나 이상의 주파수들을 제공할 수도 있다. 예를 들어, 13.56 MHz 단독으로, 2 MHz 와 함께 27 MHz, 및 400 kHz 와 함께 27 MHz 또는 더 높은 (예컨대 60 MHz) 주파수가 이용될 수도 있다. 웨이퍼 기반 플라즈마 소스 및 바이어스 전압 전력 공급기 (616) 는 2 개의 별개의 제너레이터들을 포함할 수도 있다: 플라즈마 소스로서 더 높은 주파수 제너레이터, 및 바이어스 전압 소스로서 더 낮은 주파수를 갖는 다른 제너레이터.
다운스트림 플라즈마 프로세싱 시스템 (600) 은 가스 소스/가스 공급 메커니즘 (630) 을 더 포함한다. 가스 소스는 플루오르 함유 가스 소스 (632), 패시베이션 가스 소스 (산소 및 수소 함유 가스 소스)(636), 및 선택적으로 추가의 가스 소스 (634) 를 포함한다. 플루오르 함유 가스 소스 (632) 및 (선택적인) 추가의 가스 소스 (634) 가 가스 유입구 (614) 를 통해 플라즈마 챔버 (610) 와 유체 접속한다. 패시베이션 가스 소스 (634) 는 가스 유입구 (640) 를 통해 에칭 챔버 (602) 와 유체 접속한다. 가스 유입구들 (614 및 640) 은 업스트림 플라즈마 챔버 (610) 및 에칭 챔버 (602) 내의 임의의 유리한 로케이션에 각각 위치할 수도 있고, 단일의 노즐 또는 샤워헤드와 같은 주입 가스를 위해 임의의 형태를 취할 수도 있다. 그러나, 바람직하게 가스 유입구들 (614 및 640) 은 "조정 가능한" 가스 주입 프로파일을 생성하도록 구성될 수도 있고, 이 프로파일은 가스들의 각각의 흐름의 독립적인 조정을 허용한다. 프로세스 가스 및 부산물은 압력 제어 밸브 (642) 및 펌프 (644) 를 통해 에칭 챔버 (604) 로부터 제거되고, 밸브 및 펌프는 또한 플라즈마 프로세싱 챔버 (604) 내의 특정 압력을 유지하게 한다. 가스 소스/가스 공급 메커니즘 (630) 은 제어기 (624) 에 의해 제어된다.
도 5 에 도시된 바와 같이, 플루오르 함유 가스를 포함하는 에칭 가스가 업스트림 플라즈마 챔버 (610) 로 제공되고 (단계 502), 에칭 가스로부터 플라즈마 (612) 가 생성된다 (단계 504). 에칭 가스는, 추가의 가스 소스 (634) 로부터 제공될 수도 있는, 이전 실시형태들과 유사한 다른 성분들을 포함할 수도 있다. 반응 매개물 (650) 은 플라즈마 (612) 로부터 에칭 챔버 (602) 안으로 이송된다 (단계 506). 반응 매개물 (650) 은 라디컬 및 이온을 포함한다. 반응 매개물 (650) 은 OH 라디컬을 포함하도록, 산소 및 수소를 포함하는 (예를 들어, 수증기 및/또는 알콜) 패시베이션 가스 (654) 는 유입구 (640) 를 통해 에칭 챔버 (602) 안으로 도입된다 (단계 508). 바이어스 전압이 제공되고 (단계 510), 반응 매개물을 이용하여 실리콘 층 내로 피처들이 에칭된다 (단계 512). 그 후, 반응 매개물 및 패시베이션 가스가 정지된다 (단계 514).
본 발명의 다른 실시형태에 따르면, 새로운 패시베이션 가스가 또한, 반복적 교번의 증착 단계 및 에칭 단계로 이루어진, 가스 변화 프로세스의 에칭 단계들에서 이용될 수도 있다. 통상적으로, 증착 단계는 C4F8 를 함유하는 증착 가스를 이용하고, 에칭 단계는 SF6 을 함유하는 에칭 가스를 이용한다. 산소 및 수소를 함유하는 패시베이션 가스 (예를 들어, 수증기 및/또는 알콜) 는 에칭 단계에서 SF6 를 함유하는 에칭 가스에 추가될 수도 있다.
본 발명은 몇몇 바람직한 실시형태들의 관점에서 설명되었으나, 본 발명의 범위 내에 있는 변경, 치환, 변형, 및 각종 대체의 등가물들이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방법들이 존재한다. 따라서, 다음의 첨부된 청구범위는 본 발명의 신규한 사상 및 범위 내의 모든 이러한 변경, 치환, 및 각종 대체의 등가물을 포함하는 것으로 해석되는 것으로 의도된다.

Claims (29)

  1. 실리콘 층이 배치되는 에칭 챔버를 사용하여 상기 실리콘 층 위에 형성된 패터닝된 마스크를 통해 상기 실리콘 층을 에칭하는 방법으로서,
    플루오르 함유 가스, 및 산소 및 수소 함유 가스를 포함하는 에칭 가스를 상기 에칭 챔버 안으로 제공하는 단계;
    상기 에칭 가스로부터 플라즈마를 생성하는 단계;
    상기 플라즈마를 이용하여 상기 실리콘 층 내로 피처들을 에칭하는 단계; 및
    상기 에칭 가스를 정지시키는 단계를 포함하고,
    상기 산소 및 수소 함유 가스는 알콜을 함유하고,
    상기 산소 및 수소 함유 가스는 패시베이션 가스로서 작용하고,
    상기 에칭하는 단계는 에칭되는 상기 피처들의 측벽 상에 SiOxHy 를 함유하는 패시베이션 층을 형성하는 단계를 포함하고, 여기서 x ≥ 1 이고 y ≥ 1 인, 실리콘 층을 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마는 OH 라디컬들을 함유하는, 실리콘 층을 에칭하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭하는 단계 동안 바이어스 전압을 제공하는 단계를 더 포함하는, 실리콘 층을 에칭하는 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 산소 및 수소 함유 가스는 수증기를 함유하는, 실리콘 층을 에칭하는 방법.
  5. 삭제
  6. 삭제
  7. 실리콘 층이 배치되는 에칭 챔버를 사용하여 상기 실리콘 층 위에 형성된 패터닝된 마스크를 통해 상기 실리콘 층을 에칭하는 방법으로서,
    플루오르 함유 가스, 및 산소 및 수소 함유 가스를 포함하는 에칭 가스를 상기 에칭 챔버 안으로 제공하는 단계;
    상기 에칭 가스로부터 플라즈마를 생성하는 단계;
    상기 플라즈마를 이용하여 상기 실리콘 층 내로 피처들을 에칭하는 단계; 및
    상기 에칭 가스를 정지시키는 단계를 포함하고,
    상기 산소 및 수소 함유 가스는 알콜을 함유하고,
    상기 산소 및 수소 함유 가스는 패시베이션 가스로서 작용하고,
    상기 에칭하는 단계는 에칭되는 상기 피처들의 측벽 상에 SiCnOxHy 를 함유하는 패시베이션 층을 형성하는 단계를 포함하고, 여기서 n ≥ 0, x ≥ 1, y ≥ 0 이고, n 및 y 가 둘다 0 은 아닌, 실리콘 층을 에칭하는 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 플루오르 함유 가스는 SF6 를 함유하는, 실리콘 층을 에칭하는 방법.
  9. 제 8 항에 있어서,
    상기 플루오르 함유 가스는 SiF4 를 더 함유하는, 실리콘 층을 에칭하는 방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 가스는 O2 를 더 함유하는, 실리콘 층을 에칭하는 방법.
  11. 제 10 항에 있어서,
    상기 에칭 가스는 CO2 또는 CO 중 적어도 하나를 더 함유하는, 실리콘 층을 에칭하는 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 가스, 및 상기 산소 및 수소 함유 가스는 상이한 로케이션들에 제공된 상이한 가스 유입구들로부터 개별적으로 상기 에칭 챔버 안으로 도입되는, 실리콘 층을 에칭하는 방법.
  13. 실리콘 층이 배치되는 에칭 챔버를 사용하여 상기 실리콘 층 위에 형성된 패터닝된 마스크를 통해 상기 실리콘 층을 에칭하는 방법으로서,
    플루오르 함유 가스, 및 산소 및 수소 함유 가스를 포함하는 에칭 가스를 업스트림 플라즈마 챔버 안으로 제공하는 단계;
    상기 에칭 가스로부터 플라즈마를 생성하는 단계;
    상기 플라즈마로부터의 반응 매개물을 상기 에칭 챔버 안으로 도입하는 단계;
    상기 반응 매개물이 OH 라디컬들을 함유하도록 산소 및 수소를 함유하는 패시베이션 가스를 상기 에칭 챔버 안으로 제공하는 단계;
    상기 반응 매개물을 이용하여 상기 실리콘 층 내로 피처들을 에칭하는 단계; 및
    상기 반응 매개물 및 상기 패시베이션 가스를 정지시키는 단계를 포함하고,
    상기 산소 및 수소 함유 가스는 알콜을 함유하고,
    상기 에칭하는 단계는 에칭되는 상기 피처들의 측벽 상에 SiOxHy 를 함유하는 패시베이션 층을 형성하는 단계를 포함하고, 여기서 x ≥ 1 이고 y ≥ 1 인, 실리콘 층을 에칭하는 방법.
  14. 제 13 항에 있어서,
    상기 에칭하는 단계 동안 바이어스 전압을 제공하는 단계를 더 포함하는, 실리콘 층을 에칭하는 방법.
  15. 제 13 항 또는 제 14 항에 있어서,
    상기 패시베이션 가스는 수증기 또는 알콜 중 적어도 하나를 함유하는, 실리콘 층을 에칭하는 방법.
  16. 삭제
  17. 실리콘 층이 배치되는 에칭 챔버를 사용하여 상기 실리콘 층 위에 형성된 패터닝된 마스크를 통해 상기 실리콘 층을 에칭하는 방법으로서,
    플루오르 함유 가스, 및 산소 및 수소 함유 가스를 포함하는 에칭 가스를 업스트림 플라즈마 챔버 안으로 제공하는 단계;
    상기 에칭 가스로부터 플라즈마를 생성하는 단계;
    상기 플라즈마로부터의 반응 매개물을 상기 에칭 챔버 안으로 도입하는 단계;
    상기 반응 매개물이 OH 라디컬들을 함유하도록 산소 및 수소를 함유하는 패시베이션 가스를 상기 에칭 챔버 안으로 제공하는 단계;
    상기 반응 매개물을 이용하여 상기 실리콘 층 내로 피처들을 에칭하는 단계; 및
    상기 반응 매개물 및 상기 패시베이션 가스를 정지시키는 단계를 포함하고,
    상기 산소 및 수소 함유 가스는 알콜을 함유하고,
    상기 에칭하는 단계는 에칭되는 상기 피처들의 측벽 상에 SiCnOxHy 를 함유하는 패시베이션 층을 형성하는 단계를 포함하고, 여기서 n ≥ 0, x ≥ 1, 및 y ≥ 0 이고, n 및 y 가 둘다 0 은 아닌, 실리콘 층을 에칭하는 방법.
  18. 제 13 항 또는 제 14 항에 있어서,
    상기 플루오르 함유 가스는 SF6 을 함유하는, 실리콘 층을 에칭하는 방법.
  19. 패터닝된 마스크를 통해 실리콘 층에 피처들을 에칭하기 위한 장치로서,
    플라즈마 프로세싱 챔버로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽;
    상기 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하기 위한 기판 지지부;
    상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기;
    플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극;
    상기 적어도 하나의 전극에 전기적으로 접속된 적어도 하나의 RF 전력 소스;
    상기 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구; 및
    상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함하는, 상기 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 접속하는 에칭 가스 소스로서,
    플루오르 함유 가스 소스; 및
    산소 및 수소 함유 가스 소스를 포함하는, 상기 에칭 가스 소스; 및
    상기 가스 소스, RF 바이어스 소스, 및 상기 적어도 하나의 RF 전력 소스에 제어 가능하게 접속된 제어기로서,
    적어도 하나의 프로세서; 및
    컴퓨터 판독가능 매체를 포함하고,
    상기 컴퓨터 판독가능 매체는 상기 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드를 포함하고,
    상기 실리콘 층을 에칭하기 위한 컴퓨터 판독가능 코드는,
    상기 플루오르 함유 가스 소스로부터 상기 플라즈마 프로세싱 챔버 안으로 플루오르 함유 가스를 유동시키기 위한 컴퓨터 판독 가능 코드;
    상기 산소 및 수소 함유 가스 소스로부터 상기 플라즈마 프로세싱 챔버 안으로 산소 및 수소 함유 가스를 유동시키기 위한 컴퓨터 판독가능 코드;
    상기 플루오르 함유 가스, 및 상기 산소 및 수소 함유 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드;
    바이어스 전압을 제공하기 위한 컴퓨터 판독가능 코드;
    상기 실리콘 층 내로 피처들을 에칭하기 위한 컴퓨터 판독가능 코드; 및
    상기 플루오르 함유 가스, 및 상기 산소 및 수소 함유 가스를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 제어기를 포함하고,
    상기 산소 및 수소 함유 가스는 알콜을 함유하고,
    상기 산소 및 수소 함유 가스는 패시베이션 가스로서 작용하고,
    상기 에칭하기 위한 컴퓨터 판독가능 코드는 에칭되는 상기 피처들의 측벽 상에 SiOxHy 를 함유하는 패시베이션 층을 형성하기 위한 컴퓨터 판독가능 코드를 포함하고, 여기서 x ≥ 1 이고 y ≥ 1 인, 실리콘 층에 피처들을 에칭하기 위한 장치.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
KR1020117009275A 2008-10-23 2009-10-09 플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭 KR101711671B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/257,210 US8173547B2 (en) 2008-10-23 2008-10-23 Silicon etch with passivation using plasma enhanced oxidation
US12/257,210 2008-10-23
PCT/US2009/060214 WO2010047976A2 (en) 2008-10-23 2009-10-09 Silicon etch with passivation using plasma enhanced oxidation

Publications (2)

Publication Number Publication Date
KR20110084408A KR20110084408A (ko) 2011-07-22
KR101711671B1 true KR101711671B1 (ko) 2017-03-02

Family

ID=42117930

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117009275A KR101711671B1 (ko) 2008-10-23 2009-10-09 플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭

Country Status (7)

Country Link
US (2) US8173547B2 (ko)
JP (1) JP5965641B2 (ko)
KR (1) KR101711671B1 (ko)
CN (2) CN102187435B (ko)
SG (1) SG195602A1 (ko)
TW (1) TWI506691B (ko)
WO (1) WO2010047976A2 (ko)

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
WO2009085672A2 (en) 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control
US9018098B2 (en) * 2008-10-23 2015-04-28 Lam Research Corporation Silicon etch with passivation using chemical vapor deposition
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
JP5223878B2 (ja) * 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20130344702A1 (en) * 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5913830B2 (ja) * 2011-04-21 2016-04-27 株式会社アルバック シリコン基板のエッチング方法
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9269587B2 (en) * 2013-09-06 2016-02-23 Applied Materials, Inc. Methods for etching materials using synchronized RF pulses
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6207947B2 (ja) * 2013-09-24 2017-10-04 東京エレクトロン株式会社 被処理体をプラズマ処理する方法
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102354460B1 (ko) 2015-02-12 2022-01-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6340338B2 (ja) * 2015-03-30 2018-06-06 東京エレクトロン株式会社 薄膜の形成方法
JP2017010993A (ja) * 2015-06-17 2017-01-12 東京エレクトロン株式会社 プラズマ処理方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) * 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9997366B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation Silicon oxide silicon nitride stack ion-assisted etch
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP2018182142A (ja) * 2017-04-17 2018-11-15 浜松ホトニクス株式会社 加工対象物切断方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11114306B2 (en) 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP2022512802A (ja) * 2018-10-26 2022-02-07 マトソン テクノロジー インコーポレイテッド ハードマスクを除去するための水蒸気ベースのフッ素含有プラズマ
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11646242B2 (en) 2018-11-29 2023-05-09 Qorvo Us, Inc. Thermally enhanced semiconductor package with at least one heat extractor and process for making the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11923313B2 (en) 2019-01-23 2024-03-05 Qorvo Us, Inc. RF device without silicon handle substrate for enhanced thermal and electrical performance and methods of forming the same
US20200235066A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
US20200235040A1 (en) 2019-01-23 2020-07-23 Qorvo Us, Inc. Rf devices with enhanced performance and methods of forming the same
KR20200108242A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7349861B2 (ja) * 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体
US11646289B2 (en) 2019-12-02 2023-05-09 Qorvo Us, Inc. RF devices with enhanced performance and methods of forming the same
US11923238B2 (en) 2019-12-12 2024-03-05 Qorvo Us, Inc. Method of forming RF devices with enhanced performance including attaching a wafer to a support carrier by a bonding technique without any polymer adhesive
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
US11232954B2 (en) * 2020-03-16 2022-01-25 Tokyo Electron Limited Sidewall protection layer formation for substrate processing
WO2022186857A1 (en) * 2021-03-05 2022-09-09 Qorvo Us, Inc. Selective etching process for si-ge and doped epitaxial silicon
CN114171641B (zh) * 2021-11-30 2024-05-31 北京燕东微电子科技有限公司 氧化钒薄膜的刻蚀方法与半导体器件的制造方法
CN117438299B (zh) * 2023-12-21 2024-03-29 浙江集迈科微电子有限公司 Iii-v族化合物半导体材料的刻蚀方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001526462A (ja) * 1997-12-05 2001-12-18 アプライド マテリアルズ インコーポレイテッド シリコンに高アスペクト比のトレンチを形成するための新規なエッチング方法
JP2005011827A (ja) * 2002-07-17 2005-01-13 Sekisui Chem Co Ltd 常圧プラズマエッチング用ガス供給方法および供給装置
JP2007103876A (ja) * 2005-10-07 2007-04-19 Hitachi High-Technologies Corp エッチング方法およびエッチング装置

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3353492B2 (ja) * 1994-10-18 2002-12-03 ソニー株式会社 シリコン材料のパターニング方法
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5843226A (en) 1996-07-16 1998-12-01 Applied Materials, Inc. Etch process for single crystal silicon
US6534409B1 (en) 1996-12-04 2003-03-18 Micron Technology, Inc. Silicon oxide co-deposition/etching process
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
JPH10256260A (ja) 1997-03-11 1998-09-25 Sony Corp 高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法
JPH1197414A (ja) 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
JPH11111686A (ja) * 1997-10-01 1999-04-23 Nippon Telegr & Teleph Corp <Ntt> 低ガス圧プラズマエッチング方法
US20020076935A1 (en) 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
US5904520A (en) 1998-01-05 1999-05-18 Utek Semiconductor Corp. Method of fabricating a CMOS transistor
TW412792B (en) 1999-02-10 2000-11-21 Applied Materials Inc Etching back process for solving the plug loss
US6458648B1 (en) 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
US6491835B1 (en) 1999-12-20 2002-12-10 Applied Materials, Inc. Metal mask etching of silicon
JP2001319925A (ja) 2000-05-12 2001-11-16 Chemitoronics Co Ltd プラズマエッチング装置
US6284666B1 (en) 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6387804B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. Passivation of sidewall spacers using ozonated water
US6680232B2 (en) 2000-09-22 2004-01-20 Fairchild Semiconductor Corporation Trench etch with incremental oxygen flow
EP1233449A3 (en) 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum A method of fabricating a semiconductor device
US6743727B2 (en) 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
CN100355033C (zh) 2001-10-31 2007-12-12 东京电子株式会社 蚀刻高长径比零件的方法
JP4504684B2 (ja) 2001-12-27 2010-07-14 東京エレクトロン株式会社 エッチング方法
US7129178B1 (en) 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
US7169255B2 (en) 2002-02-15 2007-01-30 Hitachi High-Technologies Corporation Plasma processing apparatus
DE10209763A1 (de) 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7556741B2 (en) * 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
JP4184851B2 (ja) 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
US6916746B1 (en) 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7348245B2 (en) 2003-04-28 2008-03-25 Renesas Technology Corp. Semiconductor device and a method of manufacturing the same
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6949460B2 (en) * 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
JP4381963B2 (ja) 2003-11-19 2009-12-09 パナソニック株式会社 プラズマ処理装置
US20050145341A1 (en) 2003-11-19 2005-07-07 Masaki Suzuki Plasma processing apparatus
DE10361635B4 (de) 2003-12-30 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Abstandselements für ein Leitungselement durch anwenden einer Ätzstoppschicht, die durch eine stark richtungsgebundene Abscheidetechnik aufgebracht wird und Transistor mit Abstandselement
US7202170B2 (en) 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7285503B2 (en) 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
KR100801308B1 (ko) 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
KR100763514B1 (ko) 2006-06-30 2007-10-04 삼성전자주식회사 반도체 장치의 개구 형성 방법 및 이를 이용한 반도체 장치제조 방법
US7829465B2 (en) * 2006-08-09 2010-11-09 Shouliang Lai Method for plasma etching of positively sloped structures
US8124516B2 (en) * 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
WO2009085672A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Fabrication of a silicon structure and deep silicon etch with profile control

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001526462A (ja) * 1997-12-05 2001-12-18 アプライド マテリアルズ インコーポレイテッド シリコンに高アスペクト比のトレンチを形成するための新規なエッチング方法
JP2005011827A (ja) * 2002-07-17 2005-01-13 Sekisui Chem Co Ltd 常圧プラズマエッチング用ガス供給方法および供給装置
JP2007103876A (ja) * 2005-10-07 2007-04-19 Hitachi High-Technologies Corp エッチング方法およびエッチング装置

Also Published As

Publication number Publication date
JP2012507144A (ja) 2012-03-22
CN102187435B (zh) 2016-01-20
SG195602A1 (en) 2013-12-30
CN105470126B (zh) 2018-06-12
US8598037B2 (en) 2013-12-03
WO2010047976A3 (en) 2010-07-01
US20120100720A1 (en) 2012-04-26
CN105470126A (zh) 2016-04-06
US20100105209A1 (en) 2010-04-29
TWI506691B (zh) 2015-11-01
WO2010047976A2 (en) 2010-04-29
CN102187435A (zh) 2011-09-14
US8173547B2 (en) 2012-05-08
TW201019391A (en) 2010-05-16
JP5965641B2 (ja) 2016-08-10
KR20110084408A (ko) 2011-07-22

Similar Documents

Publication Publication Date Title
KR101711671B1 (ko) 플라즈마 강화된 산화를 이용하여 패시베이션을 갖는 실리콘 에칭
KR101758932B1 (ko) 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭
TWI357094B (en) Reduction of feature critical dimensions
JP5081917B2 (ja) フッ素除去プロセス
KR101941827B1 (ko) 실리콘 식각을 위한 무기의 급속 교번 프로세스
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
TWI469211B (zh) 矽結構之製造及藉由輪廓控制之矽深蝕刻
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
KR101433987B1 (ko) 에칭 동안 라인 말단 단축의 감소 방법
US8304262B2 (en) Wiggling control for pseudo-hardmask
TW201330088A (zh) 三維快閃結構用之蝕刻製程
KR101605005B1 (ko) Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어
TWI405265B (zh) 均勻控制的蝕刻
JP2007507110A (ja) ランピングによるエッチング
TW201304001A (zh) 高蝕刻速率之提供方法
KR20060020621A (ko) 개선된 이중층 포토레지스트 패턴을 제공하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant