KR101442269B1 - 무한 선택적 포토레지스트 마스크 식각 - Google Patents

무한 선택적 포토레지스트 마스크 식각 Download PDF

Info

Publication number
KR101442269B1
KR101442269B1 KR1020087022696A KR20087022696A KR101442269B1 KR 101442269 B1 KR101442269 B1 KR 101442269B1 KR 1020087022696 A KR1020087022696 A KR 1020087022696A KR 20087022696 A KR20087022696 A KR 20087022696A KR 101442269 B1 KR101442269 B1 KR 101442269B1
Authority
KR
South Korea
Prior art keywords
providing
etch
features
etching
sidewalls
Prior art date
Application number
KR1020087022696A
Other languages
English (en)
Other versions
KR20080109762A (ko
Inventor
지수 김
피터 시리글리아노
상헌 이
동호 허
대한 최
에스 엠 레자 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080109762A publication Critical patent/KR20080109762A/ko
Application granted granted Critical
Publication of KR101442269B1 publication Critical patent/KR101442269B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

중간 하드 마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법이 제공된다. 복수의 식각 사이클이 제공된다. 각각의 식각 사이클은 식각층에 피쳐들을 식각하고 상기 피쳐들의 측벽들 상에 그리고 상기 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계와, 상기 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함한다.
폴리머, 증착 식각 단계, 세정 단계

Description

무한 선택적 포토레지스트 마스크 식각{INFINITELY SELECTIVE PHOTORESIST MASK ETCH}
본 발명은 반도체 디바이스의 형성에 관한 것이다. 보다 상세하게, 본 발명은 식각층에 피쳐들을 식각함으로써 반도체 디바이스를 형성하는 것에 관한 것이다.
반도체 웨이퍼 처리 동안, 반도체 디바이스의 피쳐들은 주지된 패터닝 공정 및 식각 공정을 사용하여 웨이퍼에 정의된다. 이러한 공정들에 있어서, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착되고 그 후 레티클에 의해 필터링된 광에 노광된다. 레티클은 그 레티클을 통한 광 전파를 차단하는 전형적인 피쳐 형상들로 패터닝된 유리판이다.
레티클을 통과한 후, 광은 포토레지스트 재료의 표면과 접촉한다. 광은 현상제가 포토레지스트 재료의 일부를 제거할 수 있도록 포토레지스트 재료의 화학적 조성을 변화시킨다. 포지티브 포토레지스트 재료의 경우, 노광된 영역이 제거되고, 네가티브 포토레지스트 재료의 경우 노광되지 않은 영역이 제거된다. 그 후, 웨이퍼는 포토레지스트 재료에 의해 더 이상 보호되지 않은 영역들로부터 하부의 재료를 제거하도록 식각됨으로써, 웨이퍼에 원하는 피쳐들을 정의한다.
반도체 기반 디바이스 (예를 들어, 집적 회로들 또는 평판 디스플레이들) 제조에 있어서, 듀얼 다마신 (dual damascene) 구조물들은 구리 도전체 재료와 함께 사용되어 이전 세대 기술들에 사용된 알루미늄계 재료들에서의 신호 전달과 관련된 RC 지연을 감소시킬 수 있다. 듀얼 다마신에서는, 도전체 재료를 식각하는 대신, 비아들 및 트렌치들이 유전체 재료에 식각되고 구리로 충전될 수 있다.
일반적으로, 하부 재료를 식각하는 동안, 포토레지스트 재료의 일부가 제거된다. 포토레지스트 재료에 대한 식각되는 하부 재료의 양의 비율은 식각 선택도를 결정하는데 사용된다.
상기한 바를 달성하기 위해 그리고 본 발명의 목적에 따라, 중간 하드 마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법이 제공된다. 복수의 식각 사이클이 제공된다. 식각 사이클의 각각은 식각층에 피쳐들을 식각하고 피쳐들의 측벽들 상에 그리고 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계와, 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함한다.
본 발명의 다른 양태에 있어서, 중간 하드 마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법이 제공된다. 무한 선택적으로 식각하는 15 내지 50 의 식각 사이클이 제공된다. 식각 사이클의 각각은 식각층에 피쳐들을 식각하고 피쳐들의 측벽들 상에 그리고 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계, 및 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함한다.
본 발명의 다른 양태에 있어서, 식각층에 피쳐들을 형성하기 위한 장치가 제공되며, 식각층은 기판에 의해 지지되고, 식각층이 중간 하드 마스크 없이 포토레지스트 마스크에 의해 피복되어 있다. 플라즈마 처리 챔버는 플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽을 구비한다. 기판 지지체는 플라즈마 처리 챔버 인클로저 내부에서 기판을 지지한다. 압력 조정기는 플라즈마 처리 챔버 인클로저 내의 압력을 조정한다. 적어도 하나의 전극은 플라즈마를 유지하기 위해 플라즈마 처리 챔버 인클로저에 전력을 제공한다. 가스 유입구는 플라즈마 처리 챔버 인클로저로 가스를 제공한다. 가스 배출구는 플라즈마 처리 챔버 인클로저로부터 가스를 배출한다. 가스 소스는 가스 유입구와 유체 연결되고, 식각 가스 소스, 증착 가스 소스, 및 세정 단계 가스 소스를 포함한다. 제어기는 가스 소스 및 적어도 하나의 전극에 제어가능하게 연결된다. 제어기는 적어도 하나의 프로세서와 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 15 내지 50 의 식각 사이클을 제공하기 위한 컴퓨터 판독가능 코드를 포함하고, 컴퓨터 판독가능 코드는, 식각층에 피쳐들을 식각하고 피쳐들의 측벽들 상에 그리고 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하기 위한 컴퓨터 판독가능 코드로서, 식각 가스 소스로부터 식각 가스를 제공하기 위한 컴퓨터 판독가능 코드, 식각 가스로부터 플라즈마를 생성하기 위한 컴퓨터 판독가능 코드, 증착 가스 소스로부터 증착 가스를 제공하기 위한 컴퓨터 판독가능 코드, 증착 가스로부터 플라즈마를 생성하기 위한 컴퓨터 판독가능 코드, 및 증착 식각 단계를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 증착 식각 단계를 제공하기 위한 컴퓨터 판독가능 코드; 및 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하기 위한 컴퓨터 판독가능 코드로서, 세정 단계 가스 소스로부터 세정 단계 가스를 제공하기 위한 컴퓨터 판독가능 코드, 세정 단계 가스로부터 플라즈마를 생성하기 위한 컴퓨터 판독가능 코드, 및 세정 단계를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 세정 단계를 제공하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이러한 특징 및 다른 특징들은 이하 발명의 상세한 설명에서 다음의 도면들과 결합하여 더 상세하게 설명될 것이다.
본 발명은 한정이 아닌 예시로서 설명되는 것이며, 첨부 도면의 도에 있어서, 동일한 참조 번호는 동일한 구성 요소를 지칭하며, 도면에서,
도 1은 본 발명의 일 실시형태에 사용된 식각층에 피쳐를 형성하는 하이 레벨 플로우 챠트이다.
도 2a 내지 도 2d는 도 1에 나타낸 실시형태에 따라 피쳐들을 형성하는 것에 대한 개략도이다.
도 3은 2 단계의 증착 식각 단계의 보다 상세한 플로우 챠트이다.
도 4는 식각 및 스트립을 위해 사용될 수 있는 플라즈마 처리 챔버의 개략도이다.
도 5a 및 도 5b는 본 발명의 실시형태들에 사용된 제어기를 구현하기에 적합한, 컴퓨터 시스템을 도시한다.
이하, 첨부 도면에 도시된 바와 같은 본 발명의 몇몇 바람직한 실시형태들을 참조하여 본 발명이 상세하게 설명될 것이다. 다음의 설명에서, 다수의 특정 상세가 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 이러한 특정 상세의 일부 또는 전부 없이도 본 발명이 실시될 수 있음은 당업자에게 자명할 것이다. 다른 예시에서, 본 발명을 불필요하게 모호하게 하지 않도록 하기 위하여, 공지된 공정 단계들 및/또는 구조물에 대해서는 상세하게 설명되지 않는다.
이해를 돕기 위해, 도 1은 본 발명의 실시형태에 사용된 공정의 하이 레벨 플로우 챠트이다. 비아 마스크가 식각층 상부에 제공된다 (단계 104). 도 2a는 웨이퍼 (210) 상부에 형성된 배리어층 (212) 상부에 형성된 식각층 (220) 을 갖는 스택 (200) 의 단면도이다. 이 실시예에 있어서, 층 (208) 은 배리어층 (212) 과 웨이퍼 (210) 사이에 배치된다. 층 (208) 이 웨이퍼 (210) 상에 형성된 것으로 나타내었지만, 식각층 (220) 과 웨이퍼 (210) 사이에 임의의 개수의 층들이 형성될 수 있다. 이 실시예에 있어서, 배리어층 (212) 은 실리콘 탄화물 (SiC) 층일 수도 있고 또는 SiN 일 수도 있다. 식각층 (220) 은 캘리포니아 산호세 소재 Nevellus로부터의
Figure 112012010360210-pct00001
; 캘리포니아 산타클라라 소재 Applied Materials 로부터의 Black
Figure 112012010360210-pct00002
; 네덜란드 소재 ASM International N.V.로부터 입수가능한
Figure 112012010360210-pct00003
; 캘리포니아 산타클라라 소재 Sumitomo Chemical America, Inc.로부터 입수가능한
Figure 112012010360210-pct00004
; 뉴저지 소재 Allied Signal of Morristown으로부터의
Figure 112012010360210-pct00005
; Dow Chemical Company로부터의
Figure 112012010360210-pct00006
또는 진보된 다공성
Figure 112012010360210-pct00007
; Trikon으로부터의
Figure 112012010360210-pct00008
; 및 JSR Corp.로부터의
Figure 112012010360210-pct00009
을 포함하는, 유기실리케이트 유전체 및 다공성 유전체와 같은 로우-k (low-k) 유전체일 수 있다.
비아 패턴의 형성은 식각층 (220) 상부에 반사방지층 (ARL, 216) 을 형성함으로써 수행될 수 있다. ARL (216) 은 스핀-온 증착에 의해 형성될 수 있다.
포토레지스트 마스크 (232) 는 ARL (216) 상부에 형성된다 (단계 104). 포토레지스트 마스크는 패터닝된 광에 포토레지스트층을 노광한 후 포토레지스트층 (232) 을 현상함으로써 패터닝되어 포토레지스트층 내의 비아 개구들 (224) 을 획득한다.
피쳐들은 식각층 (220) 에 선택적으로 식각된다 (단계 108). 선택적 식각은 복수의 사이클을 포함하며, 각각의 사이클은 증착 식각 단계 (단계 112) 및 폴리머 세정 단계 (단계 116) 를 포함한다.
증착 식각 단계 (단계 112) 는 포토레지스트 마스크 (232) 에 대하여 식각층 (220) 을 선택적으로 식각하고 그 피쳐들의 측벽들 상에 그리고 포토레지스트 마스크 상부에 폴리머를 증착한다. 도 2b는 증착 식각 단계 (단계 112) 후의 스택 (200) 의 단면도이다. 1회 이상의 식각 사이클이 이미 수행되었을 수도 있다. 증착 식각 단계는 피쳐들 (234) 의 측벽들 상부 및 포토레지스트 마스크 (232) 상부에 폴리머층 (236) 을 증착하면서 피쳐들 (234) 의 일부를 식각한다. 이러한 증착 식각 단계는, 상기 단계가 포토레지스트 마스크 (232) 를 식각하지 않고 식각층 (220) 을 식각하지만, 대신 포토레지스트 마스크 (232) 상부에 폴리머층 (236) 을 형성하기 때문에, 무한 선택도인 것이 바람직하다.
폴리머 세정 단계 (단계 116) 는 증착된 폴리머를 제거한다. 도 2c는 폴리머 세정 단계 후의 스택 (200) 의 단면도이다. 1회 이상의 식각 사이클이 이미 수행되어 있을 수도 있다. 폴리머 세정 단계는 피쳐의 측벽들 상에 증착된 폴리머를 제거한다. 바람직한 실시형태에 있어서, 식각층은 폴리머 세정 단계 동안 식각되지 않는다. 다른 실시형태에 있어서, 폴리머 세정 단계는 피쳐들의 하부에서 식각층을 식각할 수도 있다.
식각 사이클은 10 내지 100 사이클 동안 수행되는 것이 바람직하다. 더욱 바람직하게, 식각 사이클은 15 내지 50 사이클 동안 수행된다. 가장 바람직하게, 식각 사이클은 약 20 사이클 동안 수행된다.
도 2d는 선택적 식각 (단계 108) 이 완료된 후의 스택 (200) 의 단면도이다. 이 실시예에 있어서, 피쳐들 (234) 은 식각층 (220) 을 관통하여 완전히 식각된다. 포토레지스트 마스크 (232) 는 무한 선택도를 제공하기 때문에 식각되지 않았다.
그 후, 포토레지스크 마스크 (232) 가 스트립된다 (단계 120).
바람직하게, 피쳐들 (234) 의 측벽들은 수직이다. 바람직하게, 수직 측 벽들은 하부에서 상부까지가 피쳐들의 하부와 88°내지 90°사이의 각을 이룬다.
바람직하게, 식각층은 유전체층이다. 더욱 바람직하게, 식각층은 로우-k 유전체층이다. 가장 바람직하게, 유전체층은 로우-k 실리콘 산화물계 유전체층이다.
폴리머 세정 단계 (단계 116) 없이, 연속 증착 식각 단계가 지속되어 피쳐들의 측벽들 상에 폴리머를 더 추가하게 된다. 그 결과, 피쳐들의 폭은 수직 측벽 대신 테이퍼형 측벽들을 형성하면서 감소하게 된다. 이러한 공정은 식각 깊이를 제한하게 되는 정지 식각을 유발하게 된다.
단일 단계 증착 식각 단계의 실시예
본 발명의 바람직한 실시형태의 일 예에 있어서, 기판 (210) 은 실리콘 웨이퍼이고, 유전체 식각층 (220) 은 OSG (유기실리케이트 글래스) 또는 Coral 이다. 바람직한 실시형태에 있어서, 배리어층은 SiC 이다. ArF (193nm PR) 포토레지스트를 사용하여 마스크가 형성된다 (단계 104). 바람직한 실시형태에 있어서, ARC 층은 하부 반사방지코팅 (BARC) 이다. 기판 (210) 은 플라즈마 처리 챔버 내에 배치된다.
도 4는 식각 및 스트립을 위해 사용될 수 있는 플라즈마 처리 챔버 (400) 의 개략도이다. 플라즈마 처리 챔버 (400) 는 한정 링 (confinement ring) 들 (402), 상부 전극 (404), 하부 전극 (408), 가스 소스 (410), 및 배출 펌프 (420) 를 포함한다. 가스 소스 (410) 는 식각 가스 소스, 증착 가스 소스 및 세정 단계 가스 소스를 포함할 수 있다. 플라즈마 처리 챔버 (400) 내부에서, 기판 (210) 은 하부 전극 (408) 상에 위치된다. 하부 전극 (408) 은 기판 (210) 을 유지하기 위한 적절한 기판 척킹 메커니즘 (예를 들어, 정전식, 기계식 클램핑 등) 을 포함한다. 반응기 상부 (428) 는 하부 전극 (408) 바로 반대쪽에 배치된 상부 전극 (404) 을 포함한다. 상부 전극 (404), 하부 전극 (408) 및 한정 링 (402) 은 한정된 플라즈마 체적 (440) 을 정의한다. 가스는 가스 소스 (410) 에 의해, 한정된 플라즈마 체적에 공급되고, 배출 펌프 (420) 에 의해 한정 링들 (402) 및 배출 포트를 통해, 한정된 플라즈마 체적으로부터 배출된다. 제1 RF 소스 (444) 는 상부 전극 (404) 에 전기적으로 연결된다. 제2 RF 소스 (448) 는 하부 전극 (408) 에 전기적으로 연결된다. 챔버 벽들 (452) 은 한정 링들 (402), 상부 전극 (404) 및 하부 전극 (408) 을 둘러싼다. 제1 RF 소스 (444) 및 제2 RF 소스 (448) 의 양자는 27MHz 전원, 60 MHz 전원 및 2 MHz 전원을 포함할 수도 있다. RF 전력을 전극에 연결하는 다른 조합이 가능하다. 본 발명의 바람직한 실시형태에 있어서, 27MHz 전원, 60 MHz 전원 및 2MHz 전원은 하부 전극에 연결된 제2 RF 전원 (448) 을 구성하고, 상부 전극은 접지된다. 제어기 (435) 는 RF 소스들 (444, 448), 배출 펌프 (420) 및 가스 소스 (410) 에 제어가능하게 연결된다. 이러한 디바이스는 각각의 단계 동안 챔버의 압력, 가스 흐름, 가스 조합, RF 전력 및 시간 기간을 조절할 수 있다.
도 5a 및 도 5b 는 본 발명의 실시형태들에 사용된 제어기 (435) 를 구현하기에 적합한 컴퓨터 시스템 (500) 을 도시한다. 도 5a는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 및 소형 휴대 장치부터 대형 슈퍼 컴퓨터까지 미치는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (500) 은 모니터 (502), 디스플레이 (504), 하우징 (506), 디스크 드라이브 (508), 키보드 (510), 및 마우스 (512) 를 포함한다. 디스크 (514) 는 컴퓨터 시스템 (500) 으로 및 컴퓨터 시스템 (500) 으로부터 데이터를 전달하는데 사용되는 컴퓨터 판독가능 매체이다.
도 5b 는 컴퓨터 시스템 (500) 의 블록 다이어그램의 일 예이다. 다양한 서브 시스템이 시스템 버스 (520) 에 부착된다. 프로세서 (들) (522) (또한 중앙 처리 장치 또는 CPU로도 칭함) 은, 메모리 (524) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (524) 는 RAM (random access memory) 및 ROM (read only memory) 을 포함한다. 당업계에 주지된 바와 같이, ROM 은 데이터 및 명령들을 단일 방향으로 CPU 에 전달하도록 하고, RAM 은 양방향 방식으로 데이터 및 명령들을 전달하기 위해 일반적으로 사용된다. 이러한 유형의 양 메모리들은 이하 설명되는 임의의 적절한 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한, 고정 디스크 (526) 는 CPU (522) 에 양방향으로 커플링되며; 그것은 부가적인 데이터 저장 용량을 제공하며, 또한 이하 설명되는 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (526) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있으며, 일반적으로 1차 저장소보다 더 느린 (하드 디스크와 같은) 2차 저장 매체이다. 적절한 경우에, 고정 디스크 (526) 내에 보존된 정보가 메모리 (524) 내의 가상 메모리로서 표준 방식으로 통합될 수도 있다는 것을 알 수 있다. 탈착가능 디스크 (514) 는 이하 설명되는 임의의 컴퓨터 판독가능 매체의 형태 를 취할 수도 있다.
또한, CPU (522) 는 디스플레이 (504), 키보드 (510), 마우스 (512) 및 스피커 (530) 와 같은 각종 입/출력 디바이스에 커플링된다. 일반적으로, 입/출력 디바이스는, 영상 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치-감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿 (tablet), 스타일러스 (stylus), 보이스 또는 핸드라이팅 인식기, 바이오메트리 판독기, 또는 다른 컴퓨터 중 임의의 것일 수도 있다. 선택적으로, CPU (522) 는 네트워크 인터페이스 (540) 를 사용하여 또 다른 컴퓨터 또는 전기통신 네트워크에 커플링될 수도 있다. 그러한 네트워크 인터페이스에 의해, CPU 는 네트워크로부터 정보를 수신했을 수도 있고, 또는 상술한 방법 단계들을 수행하는 과정에서 네트워크에 정보를 출력했을 수도 있다고 생각된다. 또한, 본 발명의 방법 실시형태는 오직 CPU (522) 상에서만 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU와 결합하여 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
부가적으로, 본 발명의 실시형태는 또한 다양한 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 구비한 컴퓨터 저장 제품에 관한 것이다. 그 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수 있으며, 또는 컴퓨터 소프트웨어 기술의 당업자에게 이용가능하고 주지된 종류의 것일 수도 있다. 컴퓨터 판독가능 매체의 예는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플옵티컬 디스크와 같은 자기광학 매체; 및 주문형 집적회 로 (ASIC), 프로그램가능 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스를 포함하지만, 이에 한정되지 않는다. 컴퓨터 코드의 예는 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 더 높은 레벨의 코드를 포함하는 파일들을 포함한다. 또한, 컴퓨터 판독가능 매체는 반송파로 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
피쳐들은 식각층 (220) 에 선택적으로 식각된다 (단계 108). 선택적 식각은 복수의 사이클을 포함하고, 각각의 사이클은 증착 식각 단계 (단계 112) 및 폴리머 세정 단계 (단계 116) 을 포함한다.
증착 식각 단계 (단계 112) 에 대한 일 예의 레시피는 다음과 같다. 40 sccm CF4 와 90 sccm H2 의 증착 식각 단계 가스가 제공된다. 챔버 압력은 90 mTorr로 설정되었다. 27MHz RF 소스에 의해 1200W가 제공되었고 2MHz 전원에 의해 400W가 제공되었다. 이 실시예에 있어서, 증착 식각은 단일 단계로서 동시에 수행되었다.
폴리머 세정 단계 (단계 116) 에 대한 일 예의 레시피는 다음과 같다. 300sccm O2의 폴리머 세정 단계 가스가 제공된다. 챔버 압력은 250mTorr로 설정되었다. 27MHz RF 소스에 의해 100W가 제공되었고 2MHz 전원에 의해서는 어떠한 전력도 제공되지 않았다.
그 후, 트렌치 마스크가 스트립된다 (단계 120). 마스크 스트립의 일 예는 10 내지 3000sccm O2 의 스트립 가스를 제공한다. 챔버 압력은 5 내지 500mTorr 로 설정되었다. 100 내지 1000W가 2MHz, 27MHz RF 소스 또는 2MHz 및 27MHz RF 전원 양자의 조합에 의해 제공되었다.
2단계 증착 식각 단계의 실시예
2단계 증착 식각 단계를 사용하는 다른 실시예에 있어서, 폴리머를 증착하기 위해 제1 단계가 사용되고 식각층을 식각하기 위해 제2 단계가 사용된다. 이전의 실시예에서와 동일한 기판 및 식각층이 사용될 수 있다. 식각층 상부에 마스크가 형성된다 (단계 104). 피쳐들은 식각층 (220) 에 선택적으로 식각된다 (단계 108). 도 3은 이 실시예의 증착 식각 단계 (단계 112) 의 보다 상세한 플로우 챠트이다. 이 실시예에 있어서, 각각의 증착 식각 단계는 포토레지스트 및 측벽들 상의 폴리머 증착 단계 (단계 304) 및 그 후의 피쳐 식각 단계 (단계 308) 를 순차적으로 포함한다. 일 실시형태에 있어서, 단일 폴리머 증착 단계 (단계 304) 및 그 후의 단일 피쳐 식각 단계 (단계 308) 는 각각 증착 식각 단계 (단계 112) 동안 수행된다. 다른 실시형태에 있어서, 단일 폴리머 증착 단계 (단계 304) 및 그 후의 단일 피쳐 식각 단계 (단계 308) 의 순환적인 프로세스는 각각의 증착 식각 단계 (단계 112) 동안 복수 회 반복된다.
포토레지스트 및 측벽들 상의 폴리머 증착 단계 (단계 304) 에 대한 일 예의 레시피는 다음과 같다. 50sccm CH3F 및 250sccm Ar 의 폴리머 증착 가스가 제공 된다. 챔버 압력은 40mTorr 로 설정되었다. 폴리머 증착 가스로부터 플라즈마를 생성하기 위해 27MHz RF 소스에 의해 500W가 제공되었고 2MHz 전원에 의해 200W가 제공되었다.
피쳐 식각 단계 (단계 308) 에 대한 레시피의 일 예는 다음과 같다. 25sccm C4F6, 24sccm O2 및 200sccm Ar의 식각 가스가 제공된다. 챔버 압력은 40mTorr 로 설정되었다. 식각 가스로부터 플라즈마를 생성하기 위해 27MHz RF 소스에 의해 1200W가 제공되었고 2MHz 전원에 의해 1200W가 제공되었다,
폴리머 세정 단계 (단계 116) 에 대한 일 예의 레시피는 다음과 같다. 25sccm C4F6, 35sccm O2 및 200sccm Ar 의 폴리머 세정 단계 가스가 제공된다. 챔버 압력은 35mTorr 로 설정되었다. 폴리머 세정 단계 가스로부터 플라즈마를 생성하기 위해 27MHz RF 소스에 의해 1200W가 제공되었고 2MHz 전원에 의해 1200W가 제공되었다.
그 후, 마스크가 스트립된다 (단계 120). 상기 실시예에서의 레시피는 마스크를 스트립하기 위해 사용될 수 있다.
이들 실시예에 있어서, 플라즈마 처리 챔버는 각각의 단계 동안 챔버의 압력, 가스 흐름, 가스 조합, RF 전력 및 시간 기간을 조절할 수 있어야 한다.
본 발명은 몇몇 바람직한 실시형태들에 의해 설명되었지만, 본 발명의 범위 내에 포함되는 변경, 변형 및 다양한 치환의 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 변경 방식이 존재할 수 있음을 주지하여야 한 다. 이에 따라, 다음의 첨부된 청구범위는 본 발명의 진정한 사상 및 범위 내에 포함하는 그러한 변경, 변형 및 다양한 치환의 등가물 모두를 포함하는 것으로서 의도된다.

Claims (17)

  1. 중간 하드마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법으로서,
    복수의 식각 사이클을 제공하는 단계를 포함하고,
    상기 식각 사이클의 각각은,
    상기 식각층에 피쳐들을 식각하고 상기 피쳐들의 측벽들 상에 그리고 상기 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계로서, 상기 증착 식각 단계는, 상기 식각층을 식각함과 동시에 상기 피쳐들의 측벽들 상에 폴리머를 증착하는 단일 단계를 포함하고, 상기 증착 식각 단계는 CF4 와 H2 를 포함하는 가스를 제공하는 단계를 포함하는, 상기 증착 식각 단계를 제공하는 단계; 및
    상기 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함하고,
    상기 복수의 식각 사이클 직후에, 상기 식각된 피쳐들은 하부들과, 하부에서 상부까지가 상기 피쳐들의 하부와 88°내지 90° 사이의 각을 이루는 측벽들을 갖고, 상기 복수의 식각 사이클을 제공하는 단계는, 10 내지 100 사이클을 제공하는 단계를 포함하는, 피쳐들의 식각 방법.
  2. 삭제
  3. 중간 하드마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법으로서,
    복수의 식각 사이클을 제공하는 단계를 포함하고,
    상기 식각 사이클의 각각은,
    상기 식각층에 피쳐들을 식각하고 상기 피쳐들의 측벽들 상에 그리고 상기 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계로서, 상기 증착 식각 단계는, 상기 식각층을 식각함과 동시에 상기 피쳐들의 측벽들 상에 폴리머를 증착하는 단일 단계를 포함하고, 상기 증착 식각 단계는 CF4 와 H2 를 포함하는 가스를 제공하는 단계를 포함하는, 상기 증착 식각 단계를 제공하는 단계; 및
    상기 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함하고,
    상기 복수의 식각 사이클 직후에, 상기 식각된 피쳐들은 하부들과, 하부에서 상부까지가 상기 피쳐들의 하부와 88°내지 90° 사이의 각을 이루는 측벽들을 갖고, 상기 복수의 식각 사이클을 제공하는 단계는, 15 내지 50 사이클을 제공하는 단계를 포함하는, 피쳐들의 식각 방법.
  4. 제 1 항 또는 제 3 항에 있어서,
    상기 증착 식각 단계는, 상기 포토레지스트 마스크에 대하여 상기 식각층을 선택적으로 식각하는, 피쳐들의 식각 방법.
  5. 제 3 항에 있어서,
    상기 세정 단계는, 상기 식각층에 대하여 상기 측벽들 상에 증착된 폴리머를 선택적으로 제거하는, 피쳐들의 식각 방법.
  6. 삭제
  7. 삭제
  8. 제 5 항에 있어서,
    상기 증착 식각 단계는, 상기 포토레지스트 마스크를 제거하지 않음으로써, 무한 선택도를 제공하는, 피쳐들의 식각 방법.
  9. 중간 하드마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법으로서,
    상기 포토레지스트 마스크에 대해 상기 식각층을 무한 선택적으로 식각하는, 15 내지 50의 복수의 식각 사이클을 제공하는 단계를 포함하고,
    식각 사이클 각각은,
    상기 식각층에 피쳐들을 식각하고 상기 피쳐들의 측벽들 상에 그리고 상기 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계로서, 상기 증착 식각 단계는, 상기 식각층을 식각함과 동시에 상기 피쳐들의 측벽들 상에 폴리머를 증착하는 단일 단계를 포함하는, 상기 증착 식각 단계를 제공하는 단계; 및
    상기 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함하고,
    상기 복수의 식각 사이클 직후에, 상기 식각된 피쳐들은 하부들과, 하부에서 상부까지가 상기 피쳐들의 하부와 88°내지 90° 사이의 각을 이루는 측벽들을 갖는, 피쳐들의 식각 방법.
  10. 제 9 항에 있어서,
    상기 증착 식각 단계를 제공하는 단계는,
    식각 가스를 제공하는 단계;
    상기 식각 가스로부터 플라즈마를 생성하는 단계;
    증착 가스를 제공하는 단계;
    상기 증착 가스로부터 플라즈마를 생성하는 단계; 및
    상기 증착 식각 단계를 정지시키는 단계를 포함하고,
    상기 세정 단계를 제공하는 단계는,
    세정 단계 가스를 제공하는 단계;
    상기 세정 단계 가스로부터 플라즈마를 생성하는 단계; 및
    상기 세정 단계를 정지시키는 단계를 포함하는, 피쳐들의 식각 방법.
  11. 제 9 항에 있어서,
    상기 증착 식각 단계는 CF4 와 H2 를 포함하는 가스를 제공하는 단계를 포함하고, 상기 CF4 와 H2 를 포함하는 가스를 제공하는 단계는 40 sccm의 CF4 와 90 sccm의 H2 를 제공하는 단계를 포함하는, 피쳐들의 식각 방법.
  12. 제 9 항에 있어서,
    상기 증착 식각 단계는 CF4 와 H2 를 포함하는 가스를 제공하는 단계를 포함하는, 피쳐들의 식각 방법.
  13. 중간 하드마스크 없이 포토레지스트 마스크 아래에 배치된 식각층에 피쳐들을 식각하는 방법으로서,
    복수의 식각 사이클을 제공하는 단계를 포함하고,
    상기 식각 사이클의 각각은,
    상기 식각층에 피쳐들을 식각하고 상기 피쳐들의 측벽들 상에 그리고 상기 포토레지스트 마스크 상부에 폴리머를 증착하는 증착 식각 단계를 제공하는 단계로서, 상기 증착 식각 단계는, 상기 식각층을 식각함과 동시에 상기 피쳐들의 측벽들 상에 폴리머를 증착하는 단일 단계를 포함하고, 상기 증착 식각 단계는 CF4 와 H2 를 포함하는 가스를 제공하는 단계를 포함하는, 상기 증착 식각 단계를 제공하는 단계; 및
    상기 측벽들 상에 증착된 폴리머를 제거하는 세정 단계를 제공하는 단계를 포함하고,
    상기 복수의 식각 사이클 직후에, 상기 식각된 피쳐들은 하부들과, 하부에서 상부까지가 상기 피쳐들의 하부와 88°내지 90° 사이의 각을 이루는 측벽들을 갖고, 상기 CF4 와 H2 를 포함하는 가스를 제공하는 단계는 40 sccm의 CF4 와 90 sccm의 H2 를 제공하는 단계를 포함하는, 피쳐들의 식각 방법.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
KR1020087022696A 2006-02-17 2007-01-30 무한 선택적 포토레지스트 마스크 식각 KR101442269B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/357,548 2006-02-17
US11/357,548 US7910489B2 (en) 2006-02-17 2006-02-17 Infinitely selective photoresist mask etch
PCT/US2007/002511 WO2007094957A1 (en) 2006-02-17 2007-01-30 Infinitely selective photoresist mask etch

Publications (2)

Publication Number Publication Date
KR20080109762A KR20080109762A (ko) 2008-12-17
KR101442269B1 true KR101442269B1 (ko) 2014-09-19

Family

ID=38055610

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087022696A KR101442269B1 (ko) 2006-02-17 2007-01-30 무한 선택적 포토레지스트 마스크 식각

Country Status (5)

Country Link
US (1) US7910489B2 (ko)
KR (1) KR101442269B1 (ko)
CN (2) CN105390390A (ko)
TW (1) TWI424491B (ko)
WO (1) WO2007094957A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
JP5103006B2 (ja) 2006-11-16 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080203056A1 (en) * 2007-02-26 2008-08-28 Judy Wang Methods for etching high aspect ratio features
US8901004B2 (en) * 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
KR101082134B1 (ko) * 2010-03-16 2011-11-09 삼성모바일디스플레이주식회사 드라이 에칭 장치를 이용한 터치 스크린 패널의 제작방법
JP5802454B2 (ja) * 2011-06-30 2015-10-28 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8608973B1 (en) * 2012-06-01 2013-12-17 Lam Research Corporation Layer-layer etch of non volatile materials using plasma
US20140051256A1 (en) * 2012-08-15 2014-02-20 Lam Research Corporation Etch with mixed mode pulsing
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US9159561B2 (en) * 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
CN105565252B (zh) * 2014-10-10 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制备方法、电子装置
US9595451B1 (en) 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10497578B2 (en) 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
JP6878174B2 (ja) * 2017-06-29 2021-05-26 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
CN111684567A (zh) * 2018-02-05 2020-09-18 朗姆研究公司 非晶碳层的打开处理
US11177177B2 (en) * 2018-11-30 2021-11-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US20030162395A1 (en) * 2000-08-31 2003-08-28 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer
KR20050118267A (ko) * 2002-10-11 2005-12-16 램 리써치 코포레이션 플라즈마 에칭 성능 강화를 위한 방법

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法
JPH04240729A (ja) 1991-01-24 1992-08-28 Toshiba Corp パターン形成方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JP2674488B2 (ja) * 1993-12-01 1997-11-12 日本電気株式会社 ドライエッチング室のクリーニング方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5468342A (en) * 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6228775B1 (en) * 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
ATE352868T1 (de) 1998-07-23 2007-02-15 Surface Technology Systems Plc Verfahren für anisotropes ätzen
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP2000208767A (ja) * 1998-11-13 2000-07-28 Seiko Epson Corp 半導体装置の製造方法
TW406363B (en) * 1998-11-27 2000-09-21 United Microelectronics Corp The method of forming the opening
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6593653B2 (en) * 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
JP2002025979A (ja) * 2000-07-03 2002-01-25 Hitachi Ltd 半導体集積回路装置の製造方法
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
WO2003037497A2 (en) * 2001-10-31 2003-05-08 Tokyo Electron Limited Method of etching high aspect ratio features
US20030118948A1 (en) * 2001-12-21 2003-06-26 Rohit Grover Method of etching semiconductor material to achieve structure suitable for optics
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6979652B2 (en) * 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
CN1723549B (zh) * 2002-10-11 2012-01-18 兰姆研究有限公司 增强等离子体蚀刻性能的方法
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US20030162395A1 (en) * 2000-08-31 2003-08-28 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
KR20050118267A (ko) * 2002-10-11 2005-12-16 램 리써치 코포레이션 플라즈마 에칭 성능 강화를 위한 방법
US20050048789A1 (en) * 2003-09-03 2005-03-03 Merry Walter R. Method for plasma etching a dielectric layer

Also Published As

Publication number Publication date
TWI424491B (zh) 2014-01-21
US7910489B2 (en) 2011-03-22
CN105390390A (zh) 2016-03-09
KR20080109762A (ko) 2008-12-17
US20070193973A1 (en) 2007-08-23
TW200735210A (en) 2007-09-16
WO2007094957A1 (en) 2007-08-23
CN101421830A (zh) 2009-04-29

Similar Documents

Publication Publication Date Title
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101711669B1 (ko) 측벽 형성 공정
JP5081917B2 (ja) フッ素除去プロセス
KR101353239B1 (ko) 피치 감소
KR101083622B1 (ko) 피쳐 임계 치수의 감소
US8124516B2 (en) Trilayer resist organic layer etch
KR101274382B1 (ko) 에칭 프로세스를 위한 안정화된 포토레지스트 구조
KR101534883B1 (ko) 마스크 트리밍
KR20070092282A (ko) 에칭 마스크 피쳐 임계 치수의 감축
KR20100106501A (ko) 고 식각율 레지스트 마스크를 이용한 식각
KR101252878B1 (ko) 포토레지스트 마스크를 이용한 에칭
KR101155843B1 (ko) 균일성 제어에 의한 에칭
WO2009085597A2 (en) Cd bias loading control with arc layer open
WO2007092114A1 (en) Reducing line edge roughness
KR20100065157A (ko) 최소의 rie 래그를 이용하여 비아 패싯을 조정하는 방법
KR20110018318A (ko) 헬륨 디스커밍
KR20070046095A (ko) 유전층 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170831

Year of fee payment: 4