KR20070046095A - 유전층 에칭 방법 - Google Patents

유전층 에칭 방법 Download PDF

Info

Publication number
KR20070046095A
KR20070046095A KR1020077002578A KR20077002578A KR20070046095A KR 20070046095 A KR20070046095 A KR 20070046095A KR 1020077002578 A KR1020077002578 A KR 1020077002578A KR 20077002578 A KR20077002578 A KR 20077002578A KR 20070046095 A KR20070046095 A KR 20070046095A
Authority
KR
South Korea
Prior art keywords
etching
flow rate
etch
dielectric layer
layer
Prior art date
Application number
KR1020077002578A
Other languages
English (en)
Inventor
숀 에스. 강
지송 황
에스. 엠. 레자 사자디
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20070046095A publication Critical patent/KR20070046095A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

포토레지스트 마스크 아래 유전층을 에칭하기 위한 방법이 제공된다. 포토레지스트 마스크 아래 배치된 유전층을 가진 웨이퍼가 에칭 챔버에 제공된다. CF4와 H2를 포함하는 에칭 가스가 에칭 챔버 내에 제공되며, H2의 유량은 CF4의 유량보다 크다. 에칭 가스로부터 플라즈마가 형성된다. 에칭 가스로부터 형성된 플라즈마를 이용하여 에칭 마스크를 통해 유전층 내로 특징부들이 에칭된다.

Description

유전층 에칭 방법{LOW-K DIELECTRIC ETCH}
본 발명은 반도체 소자의 제작에 관한 발명이다.
반도체 웨이퍼 공정 중, 반도체 소자들의 특징부들이 공지된 패턴처리 및 에칭 공정을 이용하여 웨이퍼에 형성된다. 이러한 공정들에서, 포토레지스트(PR) 물질이 웨이퍼에 증착된 후, 레티클에 의해 필터링된 광에 노광된다. 레티클은 일반적으로 판상의 글래스로서, 레티클을 통과하는 광을 차단하는 일례의 특징 형태를 가지도록 패턴처리된다.
레티클을 통과한 후, 광은 포토레지스트 물질의 표면에 접촉한다. 광은 포토레지스트 물질의 화학적 조성을 변화시켜서, 디벨로퍼가 포토레지스트 물질의 일부분을 제거하게 한다. 포지티브형 포토레지스트 물질의 경우 노광된 영역들이 제거되고, 네거티브형 포토레지스트 물질의 경우 노광되지 않은 영역들이 제거된다. 그후, 웨이퍼가 에칭처리되어, 포토레지스트 물질에 의해 더이상 보호되지 않는 영역들에서 하부 물질들이 제거되며, 따라서 웨이퍼에 요망 특징부들이 형성된다.
포토레지스트의 다양한 세대(가령, 193nm-세대, 157nm-세대)들이 알려져 있다. 193nm 포토레지스트와 157nm 포토레지스트 및 이보다 작은 크기의 포토레지스트가 바람직하며, 이들은 더 작은 소자 크기, 더 큰 소자 밀도를 제공할 수 있다. 193nm 및 157nm 포토레지스트는 과거 세대의 포토레지스트에 비해 소프트하며, 폴리머, 특히 저유전율 유전체 폴리머일 수 있다. 이는 포토레지스트에 대한 저유전율 유전체의 에칭의 선택도를 감소시킬 수 있다.
본 발명의 목적에 따라 상술한 내용의 구현을 위해, 포토레지스트 마스크 아래 유전층을 에칭하기 위한 방법이 제공된다. 포토레지스트 마스크 아래 배치된 유전층을 가진 웨이퍼가 에칭 챔버에 제공된다. CF4와 H2를 포함하는 에칭 가스가 에칭 챔버 내에 제공되며, H2의 유량은 CF4의 유량보다 크다. 에칭 가스로부터 플라즈마가 형성된다. 에칭 가스로부터 형성된 플라즈마를 이용하여 에칭 마스크를 통해 유전층 내로 특징부들이 에칭된다.
발명의 또다른 실시예에서, 유기 물질 마스크 아래의 에칭층을 에칭하기 위한 방법이 제공된다. 유기 물질 마스크 아래 배치되는 에칭층을 가진 웨이퍼가 에칭 챔버 내에 제공된다. CF4와 H2를 포함하는 에칭 가스가 에칭 챔버 내로 제공되며, H2의 유량은 CF4의 유량보다 크다. 에칭 가스로부터 플라즈마가 형성된다. 에칭 가스로부터 형성된 플라즈마를 이용하여 유기 물질 마스크를 통해 에칭층 내로 특징부들이 에칭된다.
도 1은 본 발명의 일실시예에 사용될 수 있는 공정의 순서도.
도 2A-C는 본 발명에 따른 공정의 여러 단계들 중 웨이퍼의 단면도.
도 3은 본 발명에 의해 사용될 수 있는 층 증착, 에칭, 스트리핑(stripping)에 사용될 수 있는 플라즈마 공정 챔버(300)의 개략도.
도 4A와 4B는 본 발명의 실시예에 사용되는 컨트롤러를 구현하는 데 적합한 컴퓨터 시스템의 도면.
도 5A와 5B는 본 발명에 따른 에칭을 이용하여 에칭되는 층들의 단면도의 사진.
본 발명은 197nm 이하 세대(즉, 더 간격이 짧은 세대)의 포토레지스트에 대해 고도의 선택도로 유전층, 특히 저유전율 유전층을 선택적으로 에칭할 수 있는 에칭 공정을 제공한다. 본원의 선택도는 거의 무한대에 가깝다.
이해를 돕기 위해 도 1은 본 발명의 일실시예에 사용될 수 있는 공정의 순서도이다. 포토레지스트 마스크 아래에 배치된 유전층을 가진 웨이퍼가 공정 챔버 내에 배치된다(단계 104). CF4와 H2를 포함하는 에칭 가스가 에칭 챔버에 제공된다(단계 108). H2의 유량은 CF4의 유량보다 크다. 에칭 가스로부터 플라즈마가 형성된다(단계 112). 에칭 가스로부터의 플라즈마를 이용하여 에칭 마스크를 통해 유전층 내로 특징부들이 에칭된다.
사례
트렌치를 형성하기 위한 본 발명에 따른 공정의 한 예에서, 포토레지스트 마스크 아래 배치되는 유전층을 가진 웨이퍼가 공정 챔버 내로 배치된다(단계 104). 발명의 한 예에서, 도 2A는 포토레지스트 마스크(212) 아래 배치되는 하부 반사방지 코팅(BARC)(210), 그 아래 배치되는 유전층(208)을 구비한 웨이퍼(204)의 단면도이다. 유전층(208)이 저유전율 유전체인 것이 바람직하다. 이때, 저유전율이란, 유전율 k가 3.0 미만인 것을 의미한다. 추가적으로, 포토레지스트 마스크(212)를 형성하는 포토레지스트는 193 nm 미만 세대의 포토레지스트이다. 본 발명에 따른 에칭의 높은 선택도로 인해, 포토레지스트 마스크는 3000 옹스트롬 미만의 도포 두께(216)를 가질 수 있다. 본 예에서, 저유전율 물질은 Coral, Black Diamond, 또는 Aurora같은 오가노실리케이트 글래스이다.
도 3은 본 예에 사용될 수 있는 층 증착, 에칭, 스트리핑에 사용될 수 있는 플라즈마 공정 챔버(300)의 개략도이다. 플라즈마 공정 챔버(300)는 한정 링(confinement ring)(302), 상부 전극(304), 하부 전극(308), 가스 소스(310), 그리고 배기 펌프(320)를 포함한다. 플라즈마 공정 챔버(300) 내에서, 웨이퍼(204)가 하부 전극(308) 위에 놓인다. 하부 전극(308)은 적절한 기판 처킹 메커니즘(가령, 정전형, 기계적 클램핑 방식, 등등)을 제공한다. 반응기 탑(328)은 하부 전극(308) 맞은편에 배치되는 상부 전극(304)을 포함한다. 상부 전극(304), 하부 전극(308), 그리고 한정 링(302)은 한정된 플라즈마 볼륨을 구획한다. 가스 소스(310)에 의해 한정된 플라즈마 볼륨에 가스가 공급되며, 배기 펌프(320)에 의해 한정된 플라즈마 볼륨으로부터 한정 링(302)과 배기 포트를 통해 가스가 배출된다. 제 1 RF 소스(344)가 상부 전극(304)에 전기적으로 연결된다. 제 2 RF 소스(348)는 하부 전극(308)에 전기적으로 연결된다. 챔버 월(chamber wall)(352)은 한정 링(302), 상 부 전극(304), 그리고 하부 전극(308)을 둘러싼다. 제 1 RF 소스(344)와 제 2 RF 소스(348)는 27MHz 전원과 2MHz 전원을 포함할 수 있다. RF 파워를 전극에 연결함에 있어 여러가지 조합이 가능하다. 미국, 캘리포니아 주, Fremont에 소재한 Lam Research Corporation 사의 제품인 2300 Felx 또는 Exelan HPT, 또는 2300 Exelan은 본 발명의 선호 실시예에 사용될 수 있는 것으로서, 이 경우에, 27MHz와 2MHz 전원들이 제 2 RF 소스(348)를 하부 전극에 연결시키고, 상부 전극은 접지된다. RF 소스(344, 348), 배기 펌프(320), 그리고 기체 소스(310)에 컨트롤러(335)가 제어가능하게 연결된다.
도 4A와 4B는 본 발명의 실시예들에 사용되는 컨트롤러(335)를 구현하기에 적합한 컴퓨터 시스템(800)을 도시한다. 도 4A는 컴퓨터 시스템의 한가지 물리적 형태를 도시한다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 보드, 소형 핸드헬드 장치로부터 대형 수퍼컴퓨터까지 다양한 형태를 취할 수 있다. 컴퓨터 시스템(800)은 모니터(802), 디스플레이(804), 하우징(806), 디스크 드라이브(808), 키보드(810), 그리고 마우스(812)를 포함할 수 있다. 디스크(814)는 컴퓨터 시스템(800)으로부터 데이터를 송신하고 컴퓨터 시스템(800)에 데이터를 수신받는 데 사용되는 컴퓨터-판독형 매체이다.
도 4B는 컴퓨터 시스템(800)의 블록도표의 한 예에 해당한다. 다양한 서브시스템들이 시스템 버스(820)에 부착된다. 프로세서(822)(또는 중앙 처리 유닛, CPU라고도 불림)가 기억 장치에 연결된다. 기억 장치의 예로는 메모리(824)가 있다. 메모리(824)는 RAM과 ROM을 포함한다. 당 분야에 잘 알려진 바와 같이 ROM은 데이 터 및 명령들을 CPU에 일방향으로 전송하는 기능을 하며, RAM은 양방향으로 데이터 및 명령을 전송하는 기능을 한다. 이 주 종류의 메모리들이 아래 설명되는 컴퓨터-판독형 매체를 포함할 수 있다. 고정식 디스크(826)가 CPU(822)에 양방향으로 연결된다. 고정식 디스크는 추가적인 데이터 기억 용량을 제공하며, 아래 설명되는 컴퓨터-판독형 매체를 포함할 수 있다. 고정식 디스크(826)는 프로그램, 데이터, 등을 저장하는 데 사용될 수 있고, 주기억 장치보다 느린 보조 기억 매체(가령, 하드 디스크)인 것이 일반적이다. 고정식 디스크(826) 내에 보유된 정보는 적절한 경우에, 가상 메모리 방식으로 메모리(824)에 포함될 수 있다. 탈착식 디스크(814)는 아래 설명되는 컴퓨터 판독형 매체의 형태를 취할 수 있다.
CPU(822)는 다양한 입/출력 장치에 연결된다. 가령, 디스플레이(804), 키보드(810), 마우스(812), 그리고 스피커(830)에 연결된다. 일반적으로, 입/출력 장치는 비디오 디스플레이, 트랙볼, 마우스, 키보드, 마이크로폰, 터치식 디스플레이, 트랜스듀서 카드 리더, 자기식 또는 페이퍼식 테이프 리더, 태블릿, 스타일러스, 음성 또는 수기 인식기, 생체정보 리더, 또는 그외 다른 컴퓨터일 수 있다. 또한 CPU(822)는 네트워크 인터페이스(840)를 이용하여 또다른 컴퓨터나 통신망에 연결될 수 있다. 이러한 네트워크 인터페이스를 이용할 경우, 상술한 방법을 실행하는 과정에서 CPU는 네트워크로부터 정보를 수신할 수 있고 네트워크에 정보를 출력할 수 있다. 더우기, 본 발명의 방법 실시예들은 CPU(822)에서만 실행될 수도 있고, 공정의 일부분을 공유하는 원격 CPU와 연계하여 인터넷같은 네트워크 상에서 실행될 수도 있다.
추가적으로, 본 발명의 실시예들은 다양한 컴퓨터-구현 동작들을 실행하는 컴퓨터 코드를 지닌 컴퓨터-판독형 매체들을 포함하는 컴퓨터 기억 프로덕트에 추가적으로 관련된다. 이러한 매체 및 컴퓨터 코드는 본 발명의 실현을 위해 특정하게 설계되고 구성된 것일 수 있으며, 또는, 컴퓨터 소프트웨어 분야의 숙련자들에게 가용하거나 당 분야에 잘 알려진 종류일 수 있다. 컴퓨터-판독형 매체의 예로는 하드 디스크, 플라피 디스크, 자기 테이프 등과 같은 자기식 매체, CD-ROM 및 홀로그래픽 장치등과 같은 광학 매체, 플롭티컬 디스크같은 자기-광학식 매체, ASIC, PLD(Programmable Logic Device), ROM 및 RAM 장치 등등처럼, 프로그램 코드를 저장 및 실행시키도록 전용화되어 구성된 하드웨어 장치들이 있다. 컴퓨터 코드의 예로는, 컴파일러에 의해 생성된(한 예임) 기계어 코드, 인터프리터를 이용하여 컴퓨터에 의해 실행되는 하이 레벨 코드를 가진 파일 등이 있다. 컴퓨터 판독형 매체는 프로세서에 의해 실행될 수 있는 명령들의 시퀀스를 표현하는, 반송파에 실린 컴퓨터 데이터 신호에 의해 송신되는 컴퓨터 코드일 수도 있다.
본 예에서, 하부 반사방지 코팅(BARC)(210)은 유전층 에칭 이전에 열린다. BARC(210)가 개방됨으로서, 포토레지스트 마스크의 두께가 잔여 포토레지스트 마스크 두께(218)로 감소한다(도 2B 참조). 포토레지스트 마스크는 2000 옹스트롬 미만의 잔여 마스크 두께를 가질 수 있다. 본 예에서, BARC 개방은 챔버(300)에 100 mTorr의 압력을 유지하는 공정을 통해 이루어진다. 27MHz에서 200W, 2MHz에서 0W의 파워가 제공된다. 100 sccm CF4의 BARC 개방 가스가 제공된다. BARC 개방 공정은 49 초동안 지속된다. 도 5A는 BARC가 오픈된 후, 그리고 메인 에칭 이전에, 포토레지스트 마스크와 BARC 층(504) 아래 배치되는 유전층의 단면도의 사진이다. 포토레지스트와 BARC는 182nm의 두께(508)를 가진다.
그후, CF4와 H2를 포함하는 에칭 가스가 가스 소스(310)로부터 제공된다(단계 108). 본 예에서, 에칭 가스는 60 sccm CF4, 70 sccm H2, 그리고 300 sccm Ar을 제공한다. 에칭 가스로부터 플라즈마가 발생된다(단계 112). 본 예에서, 챔버 압력은 80mTorr로 유지된다. 27MHz 전원에서 600 와트, 2MHz 전원에서 200 와트가 제공된다. 에칭 가스로부터 형성된 플라즈마는 유전층(208)의 특징부들을 에칭하는 데 사용된다(단계 116). 이 공정은 60초동안 지속되어 2681 옹스트롬의 특징부 두께를 에칭한다. 본 예는 포토레지스트 손실없이 포토레지스트에 폴리머를 부가할 뿐이며, 유전층에 특징부들을 에칭한다. 따라서, 포토레지스트에 대한 유전층의 에칭 선택도가 무한대에 가깝다. 도 2C는 유전층(208)의 특징부(222) 에칭이 완료된 후 웨이퍼(204)의 단면도를 도시한다. 에칭 중 첨가된 폴리머와 잔여 포토레지스트 마스크의 조합 두께(220)가 에칭 전 잔여 포토레지스트 마스크 두께(218)보다 크다는 점에 주목하여야 한다. 도 5B는 상술한 에칭 매개변수들을 이용하여 메인 에칭 이후, 포토레지스트 마스크와 BARC층(504) 아래에 배치되는 유전층의 단면도의 시잔이다. 포토레지스트와 BARC는 229nm의 두께를 가진다. 따라서, 첨가된 폴리머는 에칭 공정 중 포토레지스트의 두께를 증가시켰다고 볼 수 있다.
그후 포토레지스트 마스크가 벗겨진다(스트리핑 단계).
이러한 본 발명에 따른 공정은 무한대에 가까운 선택도를 제공하는 데 사용될 수 있다. 본 발명에 따른 공정은 에칭 중 포토레지스트의 두께를 높일 수 있다.
발명의 또다른 실시예에서, 193 nm 수준 이상의 차세대 포토레지스트 마스크 대신에 에칭 마스크로 다른 유기질층이 사용될 수 있다. 에칭 이전에 잔여 유기질층이 2000 옹스트롬 미만일 수 있다는 사실이 발견되었다. 특히, 에칭 이전 잔여 유기질층 또는 포토레지스트층의 두께가 1000 옹스트롬 미만인 것이 바람직하다. 높은 수준의 차세대 포토레지스트에 얇은 포토레지스트 마스크를 이용함으로서, 임계 치수가 감소할 수 있다. 왜냐하면, 차세대 포토레지스트의 얇은 포토레지스트 마스크가 높은 분해능을 제공할 수 있기 때문이다.
H2의 유량이 CF4의 유량보다 큰 것이 바람직하다. H2의 유량(x)이 CF4의 유량(y)보다 크면서, CF4의 유량의 다섯배(5y) 미만인 것이 바람직하다. 따라서, 5y>x>y가 된다. H2의 유량이 CF4의 유량의 다섯배와 세배 사이이거나, 두배와 CF4 유량 사이인 것이 더욱 바람직하다. 즉, 5y>x>3y, 또는 2y>x>y 이다.
60 sccm CF4의 경우, H2의 유량이 60 ~ 120 sccm인 것이 바람직하다. 이때, H2/CF4의 유량비는 1:1 ~ 2:1 사이이다. 가장 바람직한 유량은 80 sccm H2이다. 35 sccm CF4의 경우, H2의 유량이 100~175 sccm 사이인 것이 바람직하다. 이 경우, H2/CF4 유량비는 3:1 ~ 5:1이다. 또다른 선호 유량은 120 sccm H2이다.
저유전율 유전체를 에칭하기 위해, 이러한 요법들에 N2 가스 첨가를 이용할 수 있다. 첨가될 수 있는 선호되는 N2 유량은 5~40 sccm이다. 가장 선호되는 유량은 20sccm N2다.
N2를 이용하는 요법의 한 예에서, 메인 에칭 중 90 mTorr의 압력이 챔버에서 유지된다. 40 sccm CF4, 50 sccm H2, 20 sccm N2, 그리고 100 sccm의 Ar을 함유하는 에칭 가스가 챔버에 제공된다. 27MHz의 전원에서 800와트가 제공된다. 2MHz의 전원에서 400 와트가 제공된다. 이러한 요법은 마스크의 두께가 증가한 곳에서 에칭을 제공하였으며, 어떤 홈 효과(striation)도 발견되지 않았다(측벽 폴리머 증착). 따라서 특징부들은 수직형에 매우 가까운 형태를 얻을 수 있었다.
고주파 전원(즉, 27MHz 전원)의 전력 범위가 200~1500 와트인 것이 바람직하며, 저주파 전원(즉, 2MHz 전원)의 전력 범위는 0~1000 와트인 것이 바람직하다. 고주파 전원의 전력 범위가 500~1200 와트 사이인 것이 더욱 바람직하며, 저주파 전원의 전력 범위가 300~6000 와트인 것이 더욱 바람직하다. 저유전율 유전체를 에칭하기 위해 상술한 전력 범위를 이용하는 요법의 또한가지 예는 90mTorr의 압력을 제공하고, 이때, 1000와트의 고주파 전력과 400와트의 저주파 전력을 제공하는 것이다.
각각의 탄소 원자에 대한 에칭을 위해 네개의 플로오르 원자를 제공하는 CF4가 강력한 에칭제라고 판단되고 있다. 그 결과, 포토레지스트를 보호하기 위해 H2가 첨가된다. 이러한 조합에 의해 에칭이 정지될 것이라고 믿어왔었다. 그러나, 이러 한 조합이 에칭 정지를 야기하지 못한다는 것이 또한 발견되었다.
또다른 실시예에서, 저유전율 유전체가 에칭되고 있을 때 N2가 5~40 sccm의 유량으로 에칭 가스에 첨가될 수 있다. N2는 Coral(미국, 캘리포니아, San Jose 에 소재한 Novellus 사 제품), Black Diamond(미국, 캘리포니아, Santa Clara에 소재한 Applied Materials, Inc. 사 제품), Aurora(일본, 토쿄, ASM Japn KK 사 제품)같은 오가노실리케이트 글래스(OSG)에 대한 저유전율 유전체 에칭 중 탄소를 제거하는 보조 에칭 가스(leaner etch gas)를 제공한다. 그 결과 폴리머 형성이 감소하게 된다.
본 발명에 따른 공정은 분당 1미크론 이상의 에칭 속도를 제공한다는 것이 발견되었다. 본 발명에 따른 에칭 공정은 분당 최대 1.3 미크론의 에칭을 제공한다는 것이 발견되었다. 더 높은 전력을 이용할 경우 더 높은 에칭 속도를 얻을 수도 있다.
에칭 속도가 너무 빠르면 제어가 어려운 측면이 있다. 에칭 속도를 늦추기 위해 아르곤이 첨가될 수 있다. 이에 따라, 아르곤 유량을 조절함으로서 에칭 속도를 더 정밀하게 제어할 수 있다.
본 발명에 따른 에칭 가스를 이용한 에칭 시간이 10초보다 긴 것이 선호된다. 에칭 시간이 20초보다 긴 것이 더욱 선호된다.
본 발명에 따른 공정은 홈 형성(striation)을 감소시킨다는 것이 발견되었다. 이 공정이 포토레지스트에 증착을 일으킬 뿐 아니라 측벽 폴리머의 박막층도 증착시키기 때문에 홈 형성(striation)이 감소한다. 이러한 측벽 폴리머는 홈 형성을 감소시킨다고 판단된다.
본 발명은 바이어 형성, 트렌치 형성, 그리고 실리콘 나이트라이드 하드 마스크의 개방 등에서처럼 다양한 분야에 사용될 수 있다. 하드 마스크는 저유전율 유전체 위에 놓일 수 있다. 본 발명의 공정에 따르면, 하드 마스크의 개방과 유전층, 특히 저유전율 유전층의 에칭에 얇은 포토레지스트 마스크를 사용할 수 있다.

Claims (30)

  1. 포토레지스트 마스크 아래의 유전층을 에칭하는 방법에 있어서, 상기 방법은,
    - 포토레지스트 마스크 아래 배치되는 유전층을 가진 웨이퍼를 에칭 챔버 내로 제공하는 단계,
    - CF4와 H2를 포함하는 에칭 가스를 에칭 챔버 내로 제공하는 단계로서, 이때, H2의 유량이 CF4의 유량보다 큰 것을 특징으로 하는 단계,
    - 에칭 가스로부터 플라즈마를 형성하는 단계, 그리고
    - 에칭 가스로부터 형성된 플라즈마를 이용하여 에칭 마스크를 통해 유전층 내로 특징부를 에칭하는 단계
    를 포함하는 것을 특징으로 하는 유전층 에칭 방법.
  2. 제 1 항에 있어서, 상기 포토레지스트 마스크의 두께는 특징부 에칭 이전에 2000 옹스트롬 미만인 것을 특징으로 하는 유전층 에칭 방법.
  3. 제 1 항에 있어서, 상기 포토레지스트는 193 nm 또는 그보다 작은 크기의 포토레지스트인 것을 특징으로 하는 유전층 에칭 방법.
  4. 제 1 항에 있어서, 유전층 내로 특징부들을 에칭하는 단계는, 에칭 중 포토레지스트 마스크 상에 폴리머를 첨가하여, 마스크의 두께가 증가하도록 하고, 이에 따라, 포토레지스트 마스크에 대한 유전층 에칭의 에칭 선택도가 무한대에 이르는 것을 특징으로 하는 유전층 에칭 방법.
  5. 제 1 항에 있어서, 상기 H2의 유량이 CF4의 유량의 다섯배보다 작은 것을 특징으로 하는 유전층 에칭 방법.
  6. 제 1 항에 있어서, 상기 포토레지스트 마스크의 두께가 특징부 에칭 이전에 500 옹스트롬보다 작은 것을 특징으로 하는 유전층 에칭 방법.
  7. 제 1 항에 있어서, H2의 유량이 CF4의 유량의 세배보다 큰 것을 특징으로 하는 유전층 에칭 방법.
  8. 제 1 항에 있어서, 상기 에칭 가스가 N2를 추가로 포함하는 것을 특징으로 하는 유전층 에칭 방법.
  9. 제 1 항에 있어서, 상기 유전층이 저유전율 유전층인 것을 특징으로 하는 유전층 에칭 방법.
  10. 제 8 항에 있어서, N2의 유량이 5~40 sccm인 것을 특징으로 하는 유전층 에칭 방법.
  11. 제 1 항에 있어서, 상기 에칭 단계는 분당 1미크론보다 큰 에칭 속도를 제공하는 것을 특징으로 하는 유전층 에칭 방법.
  12. 제 1 항에 있어서, 상기 에칭 가스가 아르곤을 추가로 포함하는 것을 특징으로 하는 유전층 에칭 방법.
  13. 제 1 항에 있어서, 특징부를 에칭하는 단계는 20초 이상의 시간동안 수행되는 것을 특징으로 하는 유전층 에칭 방법.
  14. 제 1 항에 있어서, H2의 유량이 CF4의 유량의 두배보다 작은 것을 특징으로 하는 유전층 에칭 방법.
  15. 제 1 항에 있어서, 특징부를 유전층 내로 에칭하는 단계는, 특징부들의 측벽에 폴리머를 증착시켜서 홈 효과(striation)를 감소시키는 것을 특징으로 하는 유전층 에칭 방법.
  16. 제 1 항에 따른 유전층 에칭 방법에 의해 형성되는 반도체 소자.
  17. 제 1 항에 따른 유전층 에칭 방법을 실행하는 장치.
  18. 유기질 마스크 아래 에칭층을 에칭하는 방법에 있어서, 상기 방법은,
    - 유기질 마스크 아래 배치되는 에칭층을 가진 웨이퍼를 에칭 챔버 내로 제공하는 단계,
    - CF4와 H2를 포함하는 에칭 가스를 제공하는 단계로서, 이때, H2의 유량이 CF4의 유량보다 큰 것을 특징으로 하는 단계,
    - 에칭 가스로부터 플라즈마를 형성하는 단계,
    - 상기 에칭 가스로부터 형성된 플라즈마를 이용하여 유기질 마스크를 통해 에칭층 내로 특징부들을 에칭하는 단계
    를 포함하는 것을 특징으로 하는 에칭층 에칭 방법.
  19. 제 18 항에 있어서, 상기 유기질 마스크의 두께가 특징부 에칭 이전에 2000 옹스트롬 미만인 것을 특징으로 하는 에칭층 에칭 방법.
  20. 제 18 항에 있어서, 에칭층 내로 특징부들을 에칭하는 단계는, 에칭 중 유기 질 마스크 상에 폴리머를 첨가하여 마스크의 두께를 증가시켜서, 유기질 마스크에 대한 에칭층 에칭의 선택도가 무한대에 이르도록 하는 것을 특징으로 하는 에칭층 에칭 방법.
  21. 제 18 항에 있어서, H2의 유량이 CF4의 유량의 다섯배보다 작은 것을 특징으로 하는 에칭층 에칭 방법.
  22. 제 18 항에 있어서, 상기 유기질 마스크의 두께가 특징부 에칭 이전에 500옹스트롬보다 작은 것을 특징으로 하는 에칭층 에칭 방법.
  23. 제 18 항에 있어서, H2의 유량이 CF4 유량의 세배보다 큰 것을 특징으로 하는 에칭층 에칭 방법.
  24. 제 18 항에 있어서, 에칭 가스가 N2를 추가로 포함하는 것을 특징으로 하는 에칭층 에칭 방법.
  25. 제 24 항에 있어서, N2의 유량이 5~40 sccm인 것을 특징으로 하는 에칭층 에칭 방법.
  26. 제 18 항에 있어서, 상기 유전층이 저유전율 유전층인 것을 특징으로 하는 에칭층 에칭 방법.
  27. 제 18 항에 있어서, 상기 에칭 단계는 분당 1미크론보다 큰 에칭 속도를 제공하는 것을 특징으로 하는 에칭층 에칭 방법.
  28. 제 18 항에 있어서, 특징부를 에칭하는 단계는, 20초보다 긴 시간동안 실행되는 것을 특징으로 하는 에칭층 에칭 방법.
  29. 제 18 항에 있어서, H2의 유량이 CF4 유량의 두배보다 작은 것을 특징으로 하는 에칭층 에칭 방법.
  30. 제 18 항에 있어서, 유전층 내로 특징부들을 에칭하는 단계는, 특징부 측벽에 폴리머를 증착시켜서 홈 효과(striation)를 감소시키는 것을 특징으로 하는 에칭층 에칭 방법.
KR1020077002578A 2004-07-16 2005-07-12 유전층 에칭 방법 KR20070046095A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/892,945 US20060011578A1 (en) 2004-07-16 2004-07-16 Low-k dielectric etch
US10/892,945 2004-07-16

Publications (1)

Publication Number Publication Date
KR20070046095A true KR20070046095A (ko) 2007-05-02

Family

ID=35159879

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002578A KR20070046095A (ko) 2004-07-16 2005-07-12 유전층 에칭 방법

Country Status (6)

Country Link
US (1) US20060011578A1 (ko)
JP (1) JP2008507137A (ko)
KR (1) KR20070046095A (ko)
CN (1) CN101027760A (ko)
TW (1) TW200616063A (ko)
WO (1) WO2006019849A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US20070269975A1 (en) * 2006-05-18 2007-11-22 Savas Stephen E System and method for removal of photoresist and stop layer following contact dielectric etch
US7704680B2 (en) * 2006-06-08 2010-04-27 Advanced Micro Devices, Inc. Double exposure technology using high etching selectivity

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3837856A (en) * 1967-04-04 1974-09-24 Signetics Corp Method for removing photoresist in manufacture of semiconductor devices
DE3420347A1 (de) * 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
JP3215151B2 (ja) * 1992-03-04 2001-10-02 株式会社東芝 ドライエッチング方法
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6270948B1 (en) * 1996-08-22 2001-08-07 Kabushiki Kaisha Toshiba Method of forming pattern
US5989353A (en) * 1996-10-11 1999-11-23 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US6080680A (en) * 1997-12-19 2000-06-27 Lam Research Corporation Method and composition for dry etching in semiconductor fabrication
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6265320B1 (en) * 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication
US6506678B1 (en) * 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6794109B2 (en) * 2001-02-23 2004-09-21 Massachusetts Institute Of Technology Low abosorbing resists for 157 nm lithography
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
AU2003244166A1 (en) * 2002-06-27 2004-01-19 Tokyo Electron Limited Plasma processing method
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング

Also Published As

Publication number Publication date
JP2008507137A (ja) 2008-03-06
TW200616063A (en) 2006-05-16
CN101027760A (zh) 2007-08-29
US20060011578A1 (en) 2006-01-19
WO2006019849A1 (en) 2006-02-23

Similar Documents

Publication Publication Date Title
US7429533B2 (en) Pitch reduction
US8124516B2 (en) Trilayer resist organic layer etch
US8268118B2 (en) Critical dimension reduction and roughness control
KR101555397B1 (ko) 포토레지스트 마스크 전처리를 갖는 플라즈마 프로세스
KR101534883B1 (ko) 마스크 트리밍
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
US7785484B2 (en) Mask trimming with ARL etch
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US20060240661A1 (en) Method of preventing damage to porous low-K materials during resist stripping
TWI393997B (zh) 用於蝕刻基板上之低k介電層的方法、半導體裝置以及用於在低k介電層中形成特徵的設備
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness
JP2007528610A (ja) ラインエッジラフネス制御
KR20060020621A (ko) 개선된 이중층 포토레지스트 패턴을 제공하는 방법
KR20070046095A (ko) 유전층 에칭 방법
US7544521B1 (en) Negative bias critical dimension trim

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid