JP2007528610A - ラインエッジラフネス制御 - Google Patents

ラインエッジラフネス制御 Download PDF

Info

Publication number
JP2007528610A
JP2007528610A JP2007502898A JP2007502898A JP2007528610A JP 2007528610 A JP2007528610 A JP 2007528610A JP 2007502898 A JP2007502898 A JP 2007502898A JP 2007502898 A JP2007502898 A JP 2007502898A JP 2007528610 A JP2007528610 A JP 2007528610A
Authority
JP
Japan
Prior art keywords
arc
layer
etching
arc opening
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007502898A
Other languages
English (en)
Other versions
JP2007528610A5 (ja
Inventor
チョウイ・ヤンジン
チュー・ヘレン・エイチ.
リー・サンヘオン
カン・ショーン・エス.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007528610A publication Critical patent/JP2007528610A/ja
Publication of JP2007528610A5 publication Critical patent/JP2007528610A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【解決手段】基板の上で、エッチング対象層とフォトレジストマスクとの間にARC層を配した状態で、フォトレジストマスクを通してエッチング対象層をエッチングするための方法が提供される。基板は、処理室の中に置かれる。処理室の中には、ARC開口用混合ガスが供給される。ARC開口用混合ガスは、エッチャントガスと、COおよびCH3Fを含む重合ガスとを含む。ARC開口用混合ガスからは、ARC開口用プラズマが形成される。ARC層は、開口されるまでARC開口用プラズマでエッチングされる。ARC開口用混合ガスは、エッチング対象層が完全にエッチングされる前に停止される。
【選択図】図3

Description

本発明は、半導体素子に関する。より具体的は、本発明は、ラインエッジラフネスを低減させる方法を用いる半導体素子の製造に関する。
半導体素子の形成において、ラインエッジ粗さは、素子の限界寸法を増大させる。また、ウエハ表面にもたらされる不均一性なエッチング結果は、限界寸法を更に増大させる恐れがある。
基板の上で、エッチング対象層とフォトレジストマスクとの間にARC層を配した状態で、フォトレジストマスクを通してエッチング対象層をエッチングするための方法が、上述された本発明の目的にしたがって、且つ本発明の目的を実現するために提供される。基板は、処理室の中に置かれる。処理室の中には、ARC開口用の混合ガスが供給される。ARC開口用混合ガスは、エッチャントガスと、COおよびCH3Fを含む重合ガスとを含む。ARC開口用混合ガスからは、ARC開口用プラズマが形成される。ARC層は、開口されるまでACR開口用プラズマでエッチングされる。ARC開口用混合ガスは、エッチング対象層が完全にエッチングされる前に停止される。
本発明の別の一発現形態では、半導体素子を形成するための方法が提供される。先ず、基板の上に、エッチング対象層が置かれる。エッチング対象層の上には、有機ARC層が形成される。ARC層の上には、フォトレジストマスクが形成される。基板は、処理室の中に置かれる。処理室の中に、ARC開口用の混合ガスが供給される。ARC開口用混合ガスは、エッチャントガスと、COおよびCH3Fを含む重合ガスとを含む。ARC開口用混合ガスから、ARC開口用プラズマが形成される。ARC層は、開口されるまでACR開口用プラズマでエッチングされる。ARC開口用混合ガスは、エッチング対象層がARC開口用プラズマでエッチングされないように、停止される。次いで、ARC開口用プラズマと異なるエッチング用プラズマが用意される。エッチング対象層は、このエッチング用プラズマでエッチングされる。
以下の本発明の詳細な説明では、添付の図面を参照にしつつ、本発明のこれらの特徴およびその他の特徴が詳しく説明される。
本発明は、限定ではなく例示を目的として、添付の図面に図示されている。図中、類似の参照符号は、類似の構成要素を表すものとする。
以下において、本発明は、添付の図面に示されたいくつかの好ましい実施形態に関連して詳しく説明される。以下の説明では、本発明の完全な理解を可能にするために、多くの詳細が特定されている。しかしながら、当業者ならば明らかなように、本発明は、これらの詳細の一部または全部を特定しなくても実現することができる。また、本発明を不必要に不明瞭にすることを避けるため、周知のプロセス工程および構造の一方または両方の詳細な説明は省略される。
理解を容易にするため、図1に、本発明による反射防止膜(ARC)開口プロセスを使用して誘電体層の中に微細構造を形成するためのハイレベルフローチャートを示した。ARC層は、エッチング対象層であるエッチング層の上に形成される(工程104)。図2Aは、基板208の上のエッチング層204の断面図である。エッチング層204の上には、ARC層216が形成される。ARC層216の上には、フォトレジストマスク220が形成される(工程108)。次いで、ARC層が開口される(工程112)。図2Bは、開口後のARC層216の断面図である。次いで、図2Cに示されるように、フォトレジストマスク220およびARC層216を通してエッチング層121の中に微細構造228がエッチングされる。フォトレジストマスク220およびARC層216は、後続のフォトレジスト剥離プロセスで完全に除去される。
図中、エッチング層204は、基板208の上に位置する状態で示されている。しかしながら、エッチング層204と基板208との間には、1枚または複数枚の層を配することも可能である。あるいは、基板それ自体がエッチング層であることも可能である。
図3は、ARC層を開口する工程をより詳しく示したフローチャートである。(工程112)。基板は、処理室の中に置かれる(工程304)。この工程は、ACR層を開口する工程(工程112)の前に生じることができる。処理室の中に、ARC開口用の混合ガスが供給される(工程308)。この工程は、処理室にエッチャントガスを供給すること(工程312)と、処理室に重合ガスを供給すること(工程316)と、処理室にエッチング速度増進剤を供給すること(工程320)とを含む。重合ガスは、COおよびCH3Fである。エッチング速度増進剤は、O2である。
実施例
本発明の一実施例において、エッチング層204は、シリコンウエハ基板208の上に位置するシリコン酸化物の誘電体層である。ARC層は、有機ARC材料である下部反射防止膜(Bottom−ARC、すなわちBARC)である。BARCは、フォトレジストマスクと同様の剥離特性を有するように、フォトレジストマスクに類似していることが好まれる。他の実施形態では、ARC層をその他の有機材料で作成して有機ARC層を形成することができる。フォトレジストマスク220は、193対応のフォトレジストで形成される。他の実施例では、フォトレジストマスクは、193対応のフォトレジストマスクまたは更に次世代のフォトレジストマスクで形成可能である。このようなマスク材料は柔らかいので、ラインエッジラフネスを生じたりエッチングを不均一にしたりする恐れがある。本発明は、このような柔らかいフォトレジスト材料の限界を補うことができる。
図4は、本実施例においてARC層を開口するため、および微細構造をエッチングするために用いられるプラズマ処理室400の説明図である。プラズマ処理室400は、閉じ込めリング402と、上部電極404と、下部電極408と、ガス供給源410と、排気ポンプ420とを備える。ガス供給源410は、ARCを開口する工程のために、ARC開口用エッチャントガス供給源412と、ARC開口用エッチング増進ガス供給源418と、ARC開口用重合ガス供給源418と、もし同じ処理室内で微細構造をエッチングするならばエッチング層419の中に微細構造をエッチングするためのガス供給源419とを有する。ガス供給源410は、追加のガス供給源を含むことも可能である。プラズマ処理室400内において、基板208は、下部電極408の上に置かれる。下部電極408は、基板208を保持するための適切な基板つかみ機構(例えば静電性のつかみ、または機械的なつかみ等など)を組み込まれる。反応器の頂部428は、下部電極408の真向かいに配された上部電極404を組み込まれる。上部電極404と、下部電極408と、閉じ込めリング402とは、限定プラズマ体積を形成する。限定プラズマ体積は、ガス供給源410によってガスを供給され、こうして供給されたガスは、閉じ込めリング402と排気口とを通じて排気ポンプ420によって限定プラズマ体積から排出される。下部電極408は、RF電源448を電気的に繋がれる。上部電極404は、接地される。閉じ込めリング402と、上部電極404と、下部電極408とは、室壁452によって取り囲まれる。RF電源448は、27MHz電源および2MHz電源を含むことができる。本発明の本実施例では、カリフォルニア州フリーモント市のラム・リサーチ社によるExelan2300(登録商標)が使用されている。他の実施形態では、例えばRF電源を上部電極404に繋げる等のように、RF電源と電極とを異なる組み合わせで繋げることも可能である。
図5Aおよび図5Bは、本発明の実施形態で用いられるコントローラ435の実現に適したコンピュータシステム500を示している。図5Aは、コンピュータシステムとして考えうる一物理的形態を示している。コンピュータシステムは、集積回路、プリント回路基板、および小型携帯端末等から巨大なスーパーコンピュータに至る幅広い範囲にわたる多くの物理的形態を有することが可能である。コンピュータシステム500は、モニタ502、ディスプレイ504、ハウジング506、ディスクデバイス508、キーボード510、およびマウス512を含む。ディスク514は、コンピュータシステム500との間でデータをやり取りするために用いられるコンピュータ可読媒体である。
図5Bは、コンピュータシステム500のブロック図の一例である。システムバス520には、種々様々なサブシステムが取り付けられる。プロセッサ522(中央演算処理装置、すなわちCPUとも称される)は、メモリ524を含む記憶装置に結合される。メモリ524は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当該分野で周知のように、ROMは、CPUとの間でデータおよび命令を双方向にやり取りするように機能し、RAMは、概して、データおよび命令を単方向に送るために用いられる。いずれのタイプのメモリも、下記の任意の適切なコンピュータ可読媒体を含むことができる。CPU522には、固定ディスク526も結合される。固定ディスク526は、追加のデータ記憶容量を提供し、やはり、下記の任意のコンピュータ可読媒体を含むことができる。固定ディスク526は、プログラムやデータ等を格納するために用いられ、概して、主記憶装置よりも低速な(例えばハードディスク等の)補助記憶媒体である。なお、固定ディスク526内に保持される情報は、適切に思われる場合には、メモリ524内の仮想メモリとして標準的な形で組み込むことが可能である。取り外し可能ディスク514は、下記の任意のコンピュータ可読媒体の形態を取ることができる。
CPU 522は、ディスプレイ504、キーボード510、マウス512、スピーカ530等の様々な入出力装置にも結合される。概して、入出力装置は、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンシティブディスプレイ、トランスデュータカードリーダ、磁気テープリーダもしくは紙テープリーダ、タブレット、スタイラス、音声認識装置もしくは筆跡認識装置、生体認証読み取り装置、またはその他のコンピュータのうちの、任意のいずれかであることが可能である。CPU522は、状況次第では、ネットワークインターフェース540を使用して別のコンピュータまたは通信ネットワークに結合することができる。このようなネットワークインターフェースを用いることによって、CPUは、上述された方法の工程を実施する際に、ネットワークからの情報を受信したりネットワークに対して情報を出力したりできると考えられる。更に、本発明による方法の実施形態は、CPU522上でのみ実行されてもよいし、または、処理の一部を共有する遠隔CPUと協力してインターネット等のネットワークを介して実行されてもよい。
また、本発明の実施形態は、更に、コンピュータによって実行される各種の動作を実施するためのコンピュータコードを格納されているコンピュータ可読媒体を伴うコンピュータストレージ製品に関する。コンピュータ可読媒体およびコンピュータコードは、本発明の目的のために特別に設計され構築されたものでもよいし、またはコンピュータソフトウェアの分野の当業者にとって周知で且つ利用可能な種類でもよい。コンピュータ可読媒体の例としては、ハードディスク、フロッピィディスク、および磁気テープ等の磁気媒体、CD−ROMおよびホログラフィックデバイス等の光学媒体、フロプティカルディスク等の磁気光学媒体、ならびにプログラムコードの格納および実行のために特別に構成された例えば特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)、ROMデバイス、およびRAMデバイス等のハードウェアデバイスが挙げられるが、これらの例に限定されない。コンピュータコードの例としては、コンパイラによって作成された等の機械コード、およびインタープリタを用いてコンピュータによって実行される高水準コードを含むファイルが挙げられる。コンピュータ可読媒体は、また、搬送波に組み込まれたコンピュータデータ信号によって伝送され且つプロセッサによって実行可能な一連の命令を表しているコンピュータコードであることも可能である。
本実施例において、ARC開口用エッチャントガスは、75sccmのN2と50sccmのH2とを含む。ARC開口用重合ガスは、200sccmのCOと6sccmのCH3Fとを含む。ARC開口用エッチング増進ガスは、3sccmのO2を含む。処理室の圧力は、260ミリトールに設定される。下部電極からの供給電力は、27MHzの場合は0ワットで、2MHzの場合は600ワットである。本工程を通して供給される電力は、フォトレジストマスク220のいかなる除去も低減させられるように、低く維持される。ACR開口用エッチャントガスとしてH2とN2とを使用するこのARC開口用混合ガスは、シリコン酸化物に対するよりもBARCのエッチングに対して高選択性である。この高選択性は、20:1を超えるように定められる。ARC開口対シリコン酸化物のエッチング選択性は、50:1を越えることが更に好ましい。ARC開口に対するエッチング選択性は、ARC開口の最中にシリコン酸化物がエッチングされることのないように、無限大を超えることが最も好ましい。下部電極は、好ましくは、−20度から+40度までの温度に維持される。
図6Aは、本実施例を使用してARC開口が実施された後の、ウエハの中心近くにおけるエッチング層の一部を示した断面図である。図6Bは、本実施例を使用してARC開口が実施された後の、ウエハのエッジ近くにおけるエッチング層の一部を示した断面図である。フォトレジストマスク620は、ウエハの中心近くおよびエッジ近くの両方でフォトレジストマスク620の損傷を最小に抑えられるように、そして均一性を向上させられるように保護される。
図6Aおよび図6Bに示された構造を使用して、エッチング層の中に微細構造をエッチングすると、図7Aおよび図7Bに示されるような微細構造が得られる。図7Aは、エッチング層の中に微細構造がエッチングされた後の、ウエハの中心近くにおけるエッチング層の一部を示した断面図である。図7Bは、エッチング層の中に微細構造がエッチングされた後の、ウエハのエッジ近くにおけるエッチング層の一部を示した断面図である。本発明によるARC開口のプロセスは、より均一な微細構造の形成を可能にするとともに、ラインエッジラフネスを低減させる。
図8Aは、従来技術によるプロセスを使用してARC開口が実施された後の、ウエハの中心近くにおける基板808上のエッチング層804の一部を示した断面図である。図8Bは、従来技術によるプロセスを使用してARC開口が実施された後の、ウエハのエッジ近くにおけるエッチング層の一部を示した断面図である。ARC層816の上のフォトレジストマスク820の一部は、ACR開口プロセス中に除去されている。これは、図8Aおよび図8Bに示されるように、ウエハの中心およびエッジの両方で、フォトレジストマスク820の一部が非長方形の断面を呈していることからわかる。また、従来技術を用いたこの例のARC開口プロセス中に生じるフォトレジストの腐食は、ウエハの中心とウエハのエッジとの間で一様でない。これは、図8Aに示されたウエハの中心と、図8Bに示されたウエハのエッジとの間で、フォトレジストマスク820の呈する断面に差があることからわかる。従来技術を用いたこの例では、ウエハの中心近くよりもウエハのエッジ近くの方で、より多量のフォトレジストマスクが腐食される。
図8Aおよび図8Bに示された構造を使用してエッチング層の中に微細構造をエッチングすると、図9Aおよび図9Bに示されるような微細構造が得られる。図9Aは、エッチング層の中に微細構造がエッチングされた後の、ウエハの中心近くにおけるエッチング層の一部を示した断面図である。図9Bは、エッチング層の中に微細構造がエッチングされた後の、ウエハのエッジ近くにおけるエッチング層の一部を示した断面図である。ウエハの中心近くにおけるフォトレジストの腐食は、図9Aに示されるように、微細構造904の側面に、いくらかのラインエッジラフネス908を生じさせる。ウエハのエッジ近くにおける更なるフォトレジストの腐食は、微細構造904の側面に、更なるラインエッジラフネス912を生じさせる。これは、ウエハ表面上におけるエッチング結果の均一性を低下させる。
ARC層は、有機材料であることが好ましい。なぜなら、好ましいARC開口レシピは、有機材料の層を開口させることが知られているからである。したがって、本発明の好ましい実施形態では、有機ARCのBARCが使用される。本発明によるARC開口プロセスは、BARC等の有機ARCを低速でエッチングすることができる。しかしながら、ARCは薄いので、低速のエッチングで十分である。本発明によるARC開口レシピは、無機層をエッチングすることができない。または、本発明によるARC開口レシピは、有機層に対するよりも無機シリコンベースの層に対するエッチングの方が大幅に低速であるので、薄いARC無機層をエッチングしようとすると、多大な時間を必要とする。有機層はエッチングできるが無機層は所望の速度でエッチングできないエッチングは、無機誘電体層に対するよりも高いエッチング選択性で有機ARCをエッチングすることができる。
表1は、この画期的なエッチングについて、好ましい範囲、より好ましい範囲、および最も好ましい範囲を示している。
Figure 2007528610
以上では、本発明のいくつかの好ましい実施形態の観点から発明を説明してきた。しかしながら、本発明の範囲内には、そのほかにも、代替形態、置換形態、変更形態、および様々な代わりの等価形態が存在する。なお、本発明の方法および装置は、他の様々な方法によっても実現することができる。したがって、添付の以下の特許請求の範囲は、本発明の真の趣旨および範囲に含まれる、このようなあらゆる代替形態、置換形態、変更形態、および様々な代わりの等価形態を含むものと解釈される。
本発明による反射防止膜(ARC)開口プロセスを使用して誘電体層の中に微細構造を形成するためのハイレベルフローチャートである。 本発明によるARC開口プロセスを使用して微細構造を形成する際の、基板上のエッチング層を示した断面図である。 本発明によるARC開口プロセスを使用して微細構造を形成する際の、基板上のエッチング層を示した断面図である。 本発明によるARC開口プロセスを使用して微細構造を形成する際の、基板上のエッチング層を示した断面図である。 ARC層を開口する工程をより詳しく示したフローチャートである。 本発明の好ましい一実施形態で使用できる処理室の説明図である。 コントローラの実現に適したコンピュータシステムの図である。 コントローラの実現に適したコンピュータシステムの図である。 ARC開口が実施された後の、基板上のエッチング層を示した断面図である。 ARC開口が実施された後の、基板上のエッチング層を示した断面図である。 エッチング層に微細構造がエッチングされた後の、基板上のエッチング層を示した断面図である。 エッチング層に微細構造がエッチングされた後の、基板上のエッチング層を示した断面図である。 従来技術によるARC開口プロセスを使用してARC開口が実施された後の、基板上のエッチング層を示した断面図である。 従来技術によるARC開口プロセスを使用してARC開口が実施された後の、基板上のエッチング層を示した断面図である。 従来技術によるARC開口プロセスが使用され、エッチング層に微細構造がエッチングされた後の、基板上のエッチング層を示した断面図である。 従来技術によるARC開口プロセスが使用され、エッチング層に微細構造がエッチングされた後の、基板上のエッチング層を示した断面図である。
符号の説明
204…エッチング層
208…基板
216…ARC層
220…フォトレジストマスク
228…微細構造
400…プラズマ処理室
402…閉じ込めリング
404…上部電極
408…下部電極
410…ガス供給源
412…ARC開口用エッチャントガス供給源
416…ARC開口用エッチング増進ガス供給源
418…ARC開口用エッチング重合ガス供給源
419…微細構造エッチング用ガス供給源
420…排気ポンプ
428…反応器の頂部
435…コントローラ
448…RF電源
452…室壁
500…コンピュータシステム
502…モニタ
504…ディスプレイ
506…ハウジング
508…ディスクデバイス
510…キーボード
512…マウス
514…ディスク
520…システムバス
522…プロセッサ
524…メモリ
526…固定ディスク
530…スピーカ
540…ネットワークインターフェース
620…フォトレジストマスク
804…エッチング層
808…基板
816…ARC層
820…フォトレジストマスク
904…微細構造
908…ラインエッジラフネス

Claims (17)

  1. 基板の上で、エッチング対象層とフォトレジストマスクとの間にARC層を配した状態で、前記フォトレジストマスクを通して前記エッチング対象層をエッチングするための方法であって、
    前記基板を処理室の中に置き、
    前記処理室の中に、エッチャントガスと、COおよびCH3Fを含む重合ガスとを含むARC開口用混合ガスを供給し、
    前記ARC開口用混合ガスからARC開口用プラズマを形成し、
    前記ARC層が開口されるまで、前記ARC開口用プラズマで前記ARC層をエッチングし、
    前記エッチング対象層が完全にエッチングされる前に、前記ARC開口用混合ガスを停止させる
    方法。
  2. 請求項1に記載の方法であって、
    ARC開口用プラズマは、前記エッチング対象層に対する選択性よりも高い選択性で前記ARCをエッチングする、方法。
  3. 請求項1ないし2のいずれかに記載の方法であって、
    前記COの流量は、少なくとも150sccmである、方法。
  4. 請求項1ないし3のいずれかに記載の方法であって、
    前記ARC開口用混合ガスは、更に、O2であるエッチング速度増進剤を含む、方法。
  5. 請求項1ないし4のいずれかに記載の方法であって、
    前記エッチング対象層は、誘電体層であり、前記エッチャントガスは、N2およびH2の混合物と、CH4との少なくとも一方を含む、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、更に、
    前記スタックの上にフォトレジストマスクを用意する方法。
  7. 請求項1ないし6のいずれかに記載の方法であって、
    前記フォトレジストマスクは、193以上の生成フォトレジストで形成される、方法。
  8. 請求項1ないし7のいずれかに記載の方法であって、
    前記ARC層は、有機材料で形成される、方法。
  9. 請求項1ないし8のいずれかに記載の方法であって、
    前記ARC層は、有機材料で形成され、前記フォトレジストマスクは、193以上の生成フォトレジストで形成される、方法。
  10. 請求項1ないし9のいずれかに記載の方法であって、
    前記ARC開口用プラズマは、前記エッチング対象層に対する場合と比べて50:1を超える選択性で前記ARCをエッチングする、方法。
  11. 請求項1ないし10のいずれかに記載の方法であって、
    前記エッチング対象層は、シリコン酸化物である、方法。
  12. 請求項1ないし11のいずれかに記載の方法であって、
    前記ARC開口用プラズマは、前記エッチング対象層をエッチングしない、方法。
  13. 請求項1ないし12のいずれかに記載の方法で形成された半導体素子。
  14. 請求項1ないし12のいずれかの方法を実施するための、コンピュータ可読媒体を伴う装置。
  15. 半導体素子を形成するための方法であって、
    エッチング対象層を基板の上に置き、
    前記エッチング対象層の上に有機ARC層を形成し、
    前記ARC層の上にフォトレジストマスクを形成し、
    前記基板を前記処理室の中に置き、
    前記処理室の中に、エッチャントガスと、COおよびCH3Fを含む重合ガスとを含むARC開口用混合ガスを供給し、
    前記ARC開口用混合ガスからARC開口用プラズマを形成し、
    前記ARC層が開口されるまで、前記ARC開口用プラズマで前記ARC層をエッチングし、
    前記エッチング対象層が前記ARC開口用プラズマでエッチングされないように、前記ARC開口用混合ガスの供給を停止し、
    前記ARC開口用プラズマと異なるエッチング用プラズマを供給し、
    前記エッチング対象層を前記エッチング用プラズマでエッチングする
    方法。
  16. 請求項15に記載の方法であって、
    前記ARC開口用混合ガスは、更に、O2であるエッチング速度増進剤を含む、方法。
  17. 請求項15ないし16のいずれかに記載の方法であって、
    前記エッチング対象層は、誘電体層であり、前記ARCの開口を可能にするための前記エッチャントガスは、N2およびH2の混合と、CH4とのうち少なくとも一方を含む、方法。
JP2007502898A 2004-03-10 2005-03-02 ラインエッジラフネス制御 Pending JP2007528610A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/798,456 US20040171260A1 (en) 2002-06-14 2004-03-10 Line edge roughness control
PCT/US2005/007386 WO2005088693A1 (en) 2004-03-10 2005-03-02 Line edge roughness control

Publications (2)

Publication Number Publication Date
JP2007528610A true JP2007528610A (ja) 2007-10-11
JP2007528610A5 JP2007528610A5 (ja) 2008-10-02

Family

ID=34961922

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007502898A Pending JP2007528610A (ja) 2004-03-10 2005-03-02 ラインエッジラフネス制御

Country Status (6)

Country Link
US (1) US20040171260A1 (ja)
JP (1) JP2007528610A (ja)
KR (1) KR20070011306A (ja)
CN (1) CN101027759A (ja)
TW (1) TW200537580A (ja)
WO (1) WO2005088693A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20090311871A1 (en) * 2008-06-13 2009-12-17 Lam Research Corporation Organic arc etch selective for immersion photoresist
TWI627667B (zh) 2012-11-26 2018-06-21 應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
GB201315424D0 (en) * 2013-08-29 2013-10-16 Occles Ltd An eye cover device
CN106575630B (zh) * 2014-07-13 2021-05-25 科磊股份有限公司 使用叠加及成品率关键图案的度量
US9899219B2 (en) * 2016-02-19 2018-02-20 Tokyo Electron Limited Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
CN108885402B (zh) * 2016-02-29 2020-01-14 东京毅力科创株式会社 选择性SiARC去除

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003133287A (ja) * 2001-10-30 2003-05-09 Matsushita Electric Ind Co Ltd ドライエッチング方法
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
DE3122641A1 (de) * 1981-06-06 1982-12-23 Herberts Gmbh, 5600 Wuppertal Kathodisch abscheidbares waessriges elektrotauchlack-ueberzugsmittel
JPS63104425A (ja) * 1986-10-09 1988-05-09 インタ−ナショナル・ビジネス・マシ−ンズ・コ−ポレ−ション バイアの形成方法
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US5201994A (en) * 1988-11-18 1993-04-13 Kabushiki Kaisha Tokuda Seisakusho Dry etching method
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3000717B2 (ja) * 1991-04-26 2000-01-17 ソニー株式会社 ドライエッチング方法
JPH04354331A (ja) * 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US6194325B1 (en) * 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5609720A (en) * 1995-09-29 1997-03-11 Lam Research Corporation Thermal control of semiconductor wafer during reactive ion etching
JP3309717B2 (ja) * 1996-06-26 2002-07-29 三菱電機株式会社 集積回路の配線の製造方法
JP2904163B2 (ja) * 1996-12-11 1999-06-14 日本電気株式会社 半導体装置の製造方法
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US5965035A (en) * 1997-10-23 1999-10-12 Applied Materials, Inc. Self aligned contact etch using difluoromethane and trifluoromethane
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6277758B1 (en) * 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
US6379872B1 (en) * 1998-08-27 2002-04-30 Micron Technology, Inc. Etching of anti-reflective coatings
US6080662A (en) * 1998-11-04 2000-06-27 Vanguard International Semiconductor Corporation Method for forming multi-level contacts using a H-containing fluorocarbon chemistry
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6191043B1 (en) * 1999-04-20 2001-02-20 Lam Research Corporation Mechanism for etching a silicon layer in a plasma processing chamber to form deep openings
US6391790B1 (en) * 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
US6867145B2 (en) * 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003133287A (ja) * 2001-10-30 2003-05-09 Matsushita Electric Ind Co Ltd ドライエッチング方法
WO2004003988A1 (ja) * 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法

Also Published As

Publication number Publication date
CN101027759A (zh) 2007-08-29
KR20070011306A (ko) 2007-01-24
US20040171260A1 (en) 2004-09-02
TW200537580A (en) 2005-11-16
WO2005088693A1 (en) 2005-09-22

Similar Documents

Publication Publication Date Title
US7491647B2 (en) Etch with striation control
US8124540B2 (en) Hardmask trim method
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
US7597816B2 (en) Wafer bevel polymer removal
US7838426B2 (en) Mask trimming
US7682516B2 (en) Vertical profile fixing
US8470126B2 (en) Wiggling control for pseudo-hardmask
JP2007529904A (ja) デュアルドープポリシリコン及びシリコンゲルマニウムエッチング
TW200527532A (en) Method of preventing damage to porous low-K materials during resist stripping
US8986492B2 (en) Spacer formation for array double patterning
JP2007528610A (ja) ラインエッジラフネス制御
WO2008157018A1 (en) Minimization of mask undercut on deep silicon etch
TWI393997B (zh) 用於蝕刻基板上之低k介電層的方法、半導體裝置以及用於在低k介電層中形成特徵的設備
TWI405265B (zh) 均勻控制的蝕刻
US20070181530A1 (en) Reducing line edge roughness
KR20070046095A (ko) 유전층 에칭 방법
CN101060080B (zh) 在介电层中蚀刻特征的方法
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080226

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080813

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111115

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120410