WO2004003988A1 - プラズマ処理方法 - Google Patents

プラズマ処理方法 Download PDF

Info

Publication number
WO2004003988A1
WO2004003988A1 PCT/JP2003/007960 JP0307960W WO2004003988A1 WO 2004003988 A1 WO2004003988 A1 WO 2004003988A1 JP 0307960 W JP0307960 W JP 0307960W WO 2004003988 A1 WO2004003988 A1 WO 2004003988A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
plasma
etching
processing method
gas
Prior art date
Application number
PCT/JP2003/007960
Other languages
English (en)
French (fr)
Inventor
Tomoyo Yamaguchi
Takashi Fuse
Kiwamu Fujimoto
Masanobu Honda
Kazuya Nagaseki
Akiteru Koh
Takashi Enomoto
Hiroharu Ito
Akinori Kitamura
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2004548902A priority Critical patent/JPWO2004003988A1/ja
Priority to AU2003244166A priority patent/AU2003244166A1/en
Publication of WO2004003988A1 publication Critical patent/WO2004003988A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to a plasma processing method performed in a semiconductor device manufacturing process.
  • a resist mask such as a photoresist is used.
  • a resist mask such as a photoresist is used.
  • recently response forte about 0 to the request of the microfabrication. 1 3 xm following A r F off Ototorejisu Bok and F 2 photo suitable for forming an opening pattern Regis Bok, i.e., A r F gas or F 2 gas Photoresists that are exposed with a single laser beam using a light source as a light source are often used.
  • the ArF photoresist layer and the F2 photoresist layer have low plasma resistance, there is a problem that the surface of the photoresist layer becomes rough during etching.
  • the shape of the opening changes with the progress of etching, so that it is impossible to form an etching hole or an etching groove having a designed shape.
  • a part of the photoresist layer is lost in the middle of the etching, and a part that should not be etched is etched.
  • a method for improving the plasma resistance of the photoresist layer As a method for improving the plasma resistance of the photoresist layer, a method of irradiating the surface of the photoresist layer with an ultraviolet ray, an electron beam, or an ion beam (Japanese Patent Application Laid-Open Nos. Hei 6-110124, Hei 2-25) Japanese Patent Application Laid-Open No. 22333, Japanese Unexamined Patent Application Publication No. 57-157532), a method of heating and curing a photoresist (Japanese Patent Application Laid-Open No. Hei 4-2325) and a method in which heat or light energy is applied to an organic Si compound to coat a thin cured layer on the photoresist layer surface (Japanese Patent Application Laid-Open No.
  • the plasma resistance must be improved in a container different from the container used in the subsequent etching step.
  • Transporting the object to be processed from the container that performs the process for improving the plasma resistance of the photoresist layer to the etching container causes a decrease in the yield in the transport process and a decrease in the throughput due to the transport time.
  • providing a vessel for improving the plasma resistance separately from the etching vessel not only requires extra space but also increases costs.
  • an antireflection layer is inserted between the portion to be etched and the photoresist mask layer.
  • a gas containing a substance having C and F such as a mixed gas of C 4 F 8 and O 2, a mixed gas of HBr, CF 4 and He, and a mixed gas of CH 2 F 2 and CF 4 It has been proposed to perform etching with a plasma of a mixed gas of He (Japanese Patent Laid-Open No. 10-26162).
  • CF 4 mixed gas are also known with 0 2 (JP-A 7 3 0 7 3 2 8 JP).
  • the anti-reflection layer and the mixed gas and CF 4 for CF 8 and O 2 ⁇ 2 In the case of etching with the plasma of the mixed gas of the above, the surface of the ArF photoresist layer is roughened, vertical stripes are formed in the ArF photoresist layer, and a considerable amount of the mask layer ArF photoresist layer is formed. In some cases, it will be etched and it will not be able to function as a mask.
  • An object of the present invention is to provide a plasma processing capable of improving the etching resistance of an organic layer such as an ArF photoresist layer without lowering the yield or the throughput and without increasing the cost. It is to provide a method.
  • Another object of the present invention is to provide a plasma processing method capable of performing plasma etching while improving the etching resistance of the organic layer.
  • a plasma processing method capable of maintaining high plasma resistance of a mask layer such as an ArF photoresist layer or an F2 photoresist layer. It is to provide
  • An object of the present invention is to provide a plasma processing method capable of etching a layer.
  • a plasma processing method comprising:
  • an object to be processed having an organic layer on its surface is prepared. And a step of irradiating the object with plasma of a processing gas containing H 2 and an inert gas to improve the plasma resistance of the organic layer.
  • a plasma processing method comprising the steps of:
  • an etching target portion, an antireflection layer covering the etching target portion, and an opening pattern covering the antireflection layer are formed.
  • an etching target layer, an antireflection layer covering the etching target layer, and a mask layer covering the antireflection film layer and having an opening pattern are formed.
  • Disposing a processing object having H 2 introducing a processing gas containing H 2 into the processing container, converting the processing gas into plasma, and using the plasma to pass through an opening pattern of the mask layer.
  • an etching target layer and a mask layer made of an ArF photoresist or an F2 photoresist in which an opening pattern covering the etching target layer is formed are provided. Placing an object to be processed on a mounting table, converting the CF 4 and H 2 into plasma, and etching the etching target layer halfway through an opening pattern of the mask layer; and an initial etching step. After the step, there is provided a plasma processing method having a main etching step of plasma-forming an etching gas containing a fluorocarbon and etching the etching target layer.
  • an etching target layer, an antireflection layer covering the etching target layer, and a mask layer made of acrylic acid resin having an opening pattern covering the antireflection layer are formed.
  • Place the object to be processed A step of mounting the platform, the CF 4 into plasma, open Ropata of the mask layer - a first etching step of etching the antireflection layer through down, the CF 4 and H 2 into plasma, opening of the mask layer
  • a plasma treatment method comprising the steps of:
  • an object to be processed having a layer to be etched and a mask layer having an opening formed over the layer to be etched is mounted on a susceptor disposed in a processing container. Placing a processing gas containing H 2 into the processing container; and, in the susceptor, a high-frequency power having a frequency of 100 MHz or more, and a high-frequency power having a frequency of 3 MHz or more.
  • a plasma processing method comprising: a supplying step; and a step of reducing a pressure in the processing container to 13.3 Pa (IOOmTorr) or less.
  • an object having an etching target portion and a photoresist layer made of an ArF photoresist or an F2 photoresist, on which an opening pattern covering the etching target portion is formed.
  • Disposing a processing body in a processing container converting a processing gas containing a substance having N into the processing container into plasma; and irradiating the photoresist layer with the processing gas; converting an etching gas into plasma within the processing container; Etching the target portion through the opening pattern.
  • an ArF photoresist or an F2 photoresist on which an etching target portion, an antireflection layer covering the etching target portion, and an opening pattern covering the antireflection layer are formed.
  • a plasma processing method comprising: a process; and a second etching process of converting the etching gas into plasma in the processing chamber and etching the etching target portion through the opening pattern.
  • an object to be processed having an etching target layer and an organic mask layer having an opening pattern covering the etching target layer is formed of a component having an exposed portion of a substance containing Si.
  • an object to be processed including a target layer to be etched, an organic film covering the target layer to be etched, and an organic mask layer having an opening pattern covering the organic film is referred to as Si.
  • a processing vessel having a component having an exposed portion of the substance to be contained, introducing an etching gas into the processing vessel, plasma-forming the etching gas, and forming an opening pattern of the organic mask layer.
  • an object to be processed including a target layer to be etched, an organic film covering the target layer to be etched, and an organic mask layer having an opening pattern covering the organic film is referred to as Si.
  • a processing vessel provided with a component which comprises the steps of introducing and H 2 into the processing chamber, the introduced H 2 into plasma, the organic through opening Ropata Ichin of the organic mask layer Providing a plasma processing method having a step of etching a film.
  • an etching target layer, and a photoresist layer made of an ArF photoresist or an F2 photoresist having an opening pattern covering the etching target layer are provided. Disposing a processing object having a process object in a processing container; introducing a processing gas containing C 2 F 4 into a processing container accommodating the processing object; and converting the processing gas into a plasma. Etching the layer to be etched in the object to be processed through the opening pattern of the photoresist layer with the plasma of the processing gas.
  • a step of arranging an object to be processed having a layer to be etched and a mask layer having an opening pattern covering the layer to be etched in a processing container A step of introducing a processing gas containing C 2 F 4 and O 2 into a processing vessel containing the processing body, a step of plasma-treating the processing gas, and Etching the layer to be etched through the opening pattern of the mask layer.
  • an A 1 -F photoresist or an F 1 -F photoresist formed with an etching target portion, an antireflection layer covering the etching target portion, and an opening pattern covering the antireflection layer is formed.
  • an object to be processed including an etching target portion, an antireflection layer covering the etching target portion, and a mask layer formed with an opening pattern covering the antireflection layer is provided.
  • an object to be processed comprising: an etching target portion; an antireflection layer covering the etching target portion; and a mask layer having an opening pattern covering the antireflection layer.
  • Disposing a substance having C and F in the processing vessel a substance having C, H and F, and having a ratio of the number of H atoms to the number of F atoms of 3 or more in the processing vessel.
  • a plasma processing method is provided, which comprises a step of plasma-forming an etching gas containing, etching the antireflection layer through the opening pattern, and etching the target portion.
  • a portion to be etched and a photoresist layer made of an ArF photoresist or an F2 photoresist on which an opening pattern covering the portion to be etched is formed.
  • a portion to be etched and this etch An object to be processed having an anti-reflection layer covering an object to be coated and a photoresist layer made of an ArF photoresist or an F2 photoresist having an opening pattern formed to cover the anti-reflection layer is placed in a processing container.
  • a plasma processing method comprising: a step of forming a second etching gas into a plasma in the processing container; and etching the target portion to be etched through the opening pattern using the plasma.
  • an object to be processed having a target portion to be etched, an antireflection layer covering the target portion to be etched, and a mask layer having an opening pattern covering the antireflection layer is formed in a processing container.
  • a first etching gas containing CF 4 and C ⁇ in the processing vessel, and the plasma is used to etch the anti-reflection layer via the open pattern.
  • a plasma processing method is provided, comprising: an etching step; and a second etching step of converting a second etching gas into plasma in the processing container and etching the etching target portion by the plasma through the open pattern.
  • an ArF photoresist or an ArF photoresist formed with an etching target layer, an organic antireflection layer covering the etching target layer, and an opening pattern covering the organic antireflection layer is formed. Disposing a workpiece having a photoresist layer made of F2 photoresist in a processing container, introducing an etching gas having a substance containing Si into the processing container, and And plasma etching the organic anti-reflection layer through the opening pattern of the photoresist layer.
  • an object to be processed having a layer to be etched and a mask layer having an opening formed by covering the layer to be etched is placed on a susceptor in a processing vessel.
  • an object to be processed having a layer to be etched and a mask layer covering the layer to be etched and having an open pattern formed in a susceptor in a processing container.
  • Mounting forming a Si-containing layer on the mask layer surface in the processing container, introducing an etching gas into the processing container, and converting the etching gas into plasma; Etching the layer to be etched through the opening pattern of the mask layer with the plasma of the etching gas in a processing container.
  • a process in which a member having at least a part of the surface of Si, a first electrode, and a second electrode facing the first electrode is provided inside.
  • a processing method is provided.
  • an ArF photoresist or an F2 photoresist in which an opening pattern is formed by covering an etching target layer and the etching target layer.
  • Placing an object to be processed having a photo-resist layer consisting of: a step of introducing an etching gas containing a Si compound into the processing container; and a step of turning the etching gas into plasma.
  • FIG. 1 is a cross-sectional view showing an example of a plasma processing apparatus capable of performing the plasma processing method of the present invention
  • FIG. 2 is a cross-sectional view showing another example of a plasma processing apparatus capable of performing the plasma processing method of the present invention
  • FIG. 3 is a cross-sectional view schematically illustrating an object to be processed used for carrying out the first embodiment of the present invention
  • FIGS. 4A and 4B are cross-sectional views schematically showing states of an object to be processed used for carrying out the second embodiment of the present invention in the order of steps,
  • FIGS. 5A and 5B are cross-sectional views schematically showing a state of an object to be processed used for implementing the third embodiment of the present invention in the order of steps,
  • FIGS. 6A, 6B, and 6C are cross-sectional views schematically illustrating states of a processing target used for implementing the fourth embodiment of the present invention in the order of steps.
  • FIGS. 7A, 7B, and 7C are cross-sectional views schematically showing states of an object to be processed used in implementing the fifth embodiment of the present invention in the order of steps,
  • FIG. 8 is a flow chart showing a series of steps of the fifth embodiment of the present invention
  • FIGS. 9A, 9B, and 9C are diagrams for explaining a modified example of the fifth embodiment of the present invention. Sectional view schematically showing the state of the processing body in the order of steps,
  • FIG. 10 is a flowchart showing a series of steps in a modification of the fifth embodiment of the present invention.
  • FIGS. 11A and 11B are charts showing the effect of the plasma processing in the example of the fifth embodiment of the present invention.
  • FIG. 12 is a cross-sectional view schematically showing an object to be processed used in the sixth embodiment of the present invention.
  • FIGS. 13A and 13B are cross-sectional views schematically showing the state of an object to be processed used in implementing the seventh embodiment of the present invention in the order of steps,
  • FIGS. 14A and 14B are cross-sectional views schematically showing the state of an object to be processed used in implementing the eighth embodiment of the present invention in the order of steps,
  • FIG. 15 is a cross-sectional view schematically showing an object to be processed used in the ninth embodiment of the present invention.
  • FIGS. 16A and 16B are cross-sectional views schematically showing the state of a processing object used for implementing the tenth embodiment of the present invention in the order of steps.
  • FIG. 1 is a cross-sectional view showing an example of a plasma processing apparatus capable of performing the plasma processing method of the present invention.
  • This plasma processing apparatus 1 has a processing container 2.
  • the processing container 2 is made of metal, for example, aluminum whose surface is oxidized, and is grounded for safety.
  • the bottom of the processing vessel 2 is parallel
  • a susceptor 5 functioning as a lower electrode of the plate electrode is provided.
  • the susceptor 5 is connected to a high-pass filter (HPF) 6, and further connected to a second high-frequency power supply 50 via a matching unit 51.
  • An electrostatic chuck 11 is provided on the susceptor 5, and a workpiece W such as a semiconductor wafer is mounted thereon.
  • the electrostatic chuck 11 has a configuration in which an electrode 12 is interposed between insulators. By applying a DC voltage from a DC power supply 13 connected to the electrode 12, the workpiece W Is electrostatically adsorbed.
  • a focus ring 15 composed of an alumina, Si, Si 2, or the like is arranged so as to surround the object W to improve the uniformity of etching.
  • the upper electrode plate 24 and the support body 25 constitute an upper electrode 21 of a parallel plate electrode facing the susceptor 5.
  • a low-pass filter 42 is connected to the upper electrode 21, and a first high-frequency power supply 40 is connected via a matching device 41.
  • a gas inlet 26 is provided at the center of the upper surface of the upper electrode 21, and a gas supply pipe 27 is connected to the gas inlet 26. From the side 26, a valve 28, a mass flow controller 29, and a processing gas supply source 30 are connected. A predetermined processing gas is supplied from the processing gas supply source 30.
  • an exhaust pipe 31 is connected to the bottom of the processing container 2, and an exhaust device 35 is connected to the exhaust pipe 31. Further, a gate pulp 32 is provided on a side wall of the processing container 2 so that the object to be processed W is transferred to and from an adjacent load lock chamber (not shown).
  • the gate valve 32 is opened. Then, the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11. Next, the gate valve 32 is closed, the inside of the processing vessel 2 is depressurized by the exhaust device 35, and then the valve 28 is opened, and a predetermined processing gas is supplied from the etching gas supply source 30 and the pressure in the processing vessel 2 is increased. Is a predetermined value. In this state, high-frequency power is supplied from the first and second high-frequency power supplies 40 and 50 to convert the processing gas into plasma, and a plasma process is performed on a predetermined film of the workpiece W (plasma resistance improving process or plasma etching). Is carried out.
  • a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing when the high-frequency power is supplied from the first and second high-frequency power sources 40 and 50, and the object to be processed W Is electrostatically attracted onto the electrostatic chuck 11 and a predetermined plasma process is performed in this state.
  • FIG. 2 is a sectional view showing another example of the plasma processing apparatus in which the present invention is implemented.
  • This plasma etching apparatus 61 has a processing vessel 62.
  • the processing container 62 has a stepped cylindrical shape composed of a small-diameter upper portion 62a and a large-diameter lower portion 62b, and is formed of metal, for example, aluminum whose surface is oxidized and grounded.
  • a conductive material functioning as a lower electrode of the parallel plate electrode, for example, a susceptor 65 made of oxidized aluminum on the surface is provided at the bottom of the processing container 62 via an insulator 63.
  • An electrostatic chuck 71 is provided on the susceptor 65, and a workpiece W such as a semiconductor wafer is mounted thereon.
  • the electrostatic chuck 71 has a configuration in which an electrode 72 is interposed between insulators. By applying a DC power supply 73 connected to the electrode 72, the workpiece W is electrostatically attracted. I do. Then, and be disposed focus ring 7 5 consisting of S i and S I_ ⁇ 2, etc., to improve the uniformity of etching so as to surround the object to be processed W. Above the susceptor 65, an upper electrode plate 81 made of a short-headed Si or the like is supported by the upper portion 62a of the processing vessel 62 so as to face the susceptor 65. It is provided.
  • the processing container 62 also functions as a parallel plate type electrode facing the susceptor.
  • a multipole ring magnet 82 is rotatably provided around the upper portion 62 a of the processing container 62.
  • a gas inlet 86 is provided at the center of the upper surface of the processing vessel 62, and a gas supply pipe 87 is connected to the gas inlet 86, and a gas inlet is connected to the gas supply pipe 87.
  • a valve 88, a masochist controller 89, and a processing gas supply source 90 are connected.
  • a predetermined processing gas is supplied from the processing gas supply source 90.
  • an exhaust pipe 91 is connected to the bottom of the processing container 62, and an exhaust device 95 is connected to the exhaust pipe 91.
  • a gate valve (not shown) is provided on a side wall of the processing container 62, so that the object to be processed W is conveyed between an adjacent load lock chamber (not shown). ing.
  • a first frequency power supply 101 and a second high frequency power supply 102 are connected to a susceptor 65 serving as a lower electrode via a matching device 100.
  • the frequencies of the first and second high-frequency power supplies 101 and 102 are, for example, 100 MHz and 3.2 MHz, respectively.
  • the gate valve (not shown) is opened, the object to be processed W is carried into the processing container 62, and is placed on the electrostatic chuck 71.
  • the valve 88 is opened, and a predetermined processing gas is supplied from the etching gas supply source 90 to the processing vessel 62.
  • the inside pressure is set to a predetermined value.
  • high-frequency power is supplied from the first and second high-frequency power supplies 101 and 102 to convert the processing gas into plasma, and the plasma on the predetermined film of the workpiece W is blown.
  • Perform a plasma treatment plasma resistance improvement treatment or plasma etching.
  • a DC voltage is applied to the electrode 72 in the electrostatic chuck ⁇ 1 before and after the timing of supplying high-frequency power from the first and second high-frequency power supplies 101 and 102,
  • the object to be processed W is electrostatically attracted onto the electrostatic chuck 71, and a predetermined plasma process is performed in this state.
  • an SiO 2 film 121 as an etching target layer and an ArF photoresist or F (2) a step of irradiating the object to be processed W having a photoresist layer 122 composed of a photoresist with plasma to improve the plasma resistance of the photoresist layer 122; And a step of plasma-etching the etching target layer 1 2 1 using 2 as a mask.
  • an alicyclic-containing acryl resin As the ArF photoresist or the F2 photoresist, an alicyclic-containing acryl resin, a cycloolefin resin, a cycloolefin-maleic anhydride resin, a methyl acrylate resin, or the like can be used.
  • the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, the inside of the processing vessel 2 is depressurized by the exhaust device 35, and then the valve 28 is opened to supply the processing gas, for example, H 2 from the processing gas supply source 30, and the processing volume is reduced.
  • the pressure in the vessel 2 is set to a predetermined value, preferably 13.3 Pa (100 mT orr) or less, for example, 6.7 Pa (5 OmT orr).
  • high frequency power is applied to the upper electrode 21 and the susceptor 5 serving as the lower electrode, and the processing gas is turned into plasma to irradiate the photoresist layer 122 in the workpiece W with plasma.
  • a DC power supply 13 is applied to the electrode 12 in the electrostatic chuck 11 to electrostatically hold the workpiece W on the electrostatic chuck 11.
  • the H materials having H 2 and He, Ne, A r, K r, plasma or process gas containing an inert gas, such as Xe, up substances having other H plasma
  • the H plasma of a processing gas containing and a substance such as an inert gas may be irradiated.
  • a substance having H for example, NH 3 can be mentioned. Irradiation of these gases improves the plasma resistance of the photoresist layer 122 which is an organic layer.
  • the plasma containing H promotes the cross-linking reaction of the photoresist layer 122, which is an organic layer, and the C-0 bond and C--H bond change to C--C bond.
  • NH 3 is also a substance having N, but may contain another substance having N, for example, N 2 as a processing gas.
  • N 2 also has the advantage of easy handling.
  • the use of a substance containing N as the processing gas also improves the plasma resistance of the photoresist layer 122, so that a substance containing N may be used without using a substance containing H.
  • N and C in the ArF photoresist combine to form a CN-based protective film on the surface of the ArF photoresist, and the ArF It is thought that the plasma resistance of the photoresist is improved.
  • the processing gas contains a substance having N such as N 2, it is preferable that the processing gas further contains a substance having H. This is because the presence of H is thought to promote the bond between N and C.
  • the substance having H one or more selected from H 2 , CHF 3 , CH 2 F 2 , and CH 3 F can be used.
  • the pressure inside the processing container 2 is set to a predetermined value suitable for the etching step, for example, 2.0 Pa (15 mTorr), and an etching gas is supplied from the processing gas supply source 30.
  • a gas containing fluorocarbon for example, a gas containing C 5 F 8 is preferable. Specific examples may be mentioned C 5 F 8 + 0 2 + A r.
  • C 5 F 8 linear C 5 F 8 having a higher selectivity is preferred, and among them, 1,1,1,4,4,4,5,5,5-octafluorool 2-pentyne (hereinafter referred to as “2_C 5 to as F 8 ".) in case of using, the selection ratio becomes extremely large.
  • a gas containing C 4 F 6 is also suitable as an etching gas.
  • a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.
  • the etching target portion is not limited to the S I_ ⁇ 2 film, TEO S, BP SG, PSG, SOG, thermal oxide film, HTO, FSG, organic acid It can be applied to the etching of oxide films (oxygen compounds) such as silicon oxide films, CORAL (Novelas), and low dielectric organic insulating films.
  • oxide films oxygen compounds
  • CORAL Novelas
  • a gas obtained by simply adding another gas to the processing gas can be used as the etching gas. If etching can be performed only by adding another gas after the process of irradiating the plasma of the processing gas, the process of irradiating the plasma of the processing gas and the process of etching while maintaining the plasma discharge can be performed. Can be performed continuously.
  • H 2 is used as a processing gas, and then, a mixed gas of H 2 , CF 4, and Ar is used as an etching gas.
  • a mixed gas of H 2 , CF 4, and Ar is used as an etching gas. The step of etching the oxide film may be performed.
  • photoresist materials having low plasma resistance such as ArF photoresist and F2 photoresist
  • other organic photoresist layers may be used instead of these materials. It may be another organic layer.
  • the configuration of the plasma processing apparatus is not limited to that shown in FIG.
  • the process chamber pressure 6. and 7 P a 5 OmTo rr
  • the processing gas of H 2 flow rate 0. 05 ⁇ 0. 2 L / min The irradiation time was 30 seconds, high frequency power of a frequency of 60 MHz was applied at a power of 500 to 1,000 W to the upper electrode, and no high frequency power was applied to the lower electrode.
  • the process chamber pressure 2. a 0 P a (15mT orr) the etching gas C 5 F 8, Ar, 0 0.
  • An anti-reflection film 132 is etched through the pattern opening of the photoresist layer 133 on the workpiece W having a photoresist layer 133 made of ArF photoresist or F2 photoresist covering the 132.
  • a first etching step (FIG. 4A) for improving the plasma resistance of the resist layer 133, and a second etching step for plasma etching the Si S2 film 131 through the photoresist layer 133 after this step (Figure 4B).
  • the object to be processed W is loaded and arranged in the processing container 2, and a processing gas serving as a first etching gas, for example, N 2 and H 2 is supplied from the processing gas supply source 30, and
  • the pressure is set to a predetermined value, for example, 107 Pa (80 OmT orr).
  • the pressure in the processing vessel at this time is preferably 107 160 Pa (800 1200 mTorr). If it is lower than 107 Pa, the photoresist layer 133, especially the shoulder of the pattern opening is also etched. This is because if it is larger than 160 Pa, the etching of the opening does not proceed.
  • a gas containing N for example, N 2 or NH 3 can be used.
  • a gas containing H for example, H 2 , CHF 3 , CH 2 F 2 , CH 2 it is possible to use one or more selected from among 3 F.
  • the first etching gas is plasmanized, and the antireflection film 132 is etched using the photoresist layer 133 as a mask.
  • the antireflection film amorphous carbon or an organic polymer material can be used. This etching also serves as a process for improving the plasma resistance of the photoresist layer 133 at the same time.
  • the first etching is completed when etching is performed for a predetermined time.
  • the processing gas and the etching gas By making the processing gas and the etching gas the same, gas switching between the step of irradiating the plasma to the photo resist layer 133 and the step of etching the antireflection layer 132 becomes unnecessary, and the processing can be performed in a short time. And the throughput can be improved. In addition, since the plasma resistance of the ArF photoresist can be improved during the etching of the antireflection layer 132, no extra device or space is required.
  • the processing gas (first etching gas) is switched to an etching gas (second etching gas), and the second etching for plasma-etching the SiO 2 film 131 through the photoresist 133 is performed similarly to the first etching.
  • etching gas As the etching gas at this time, as in the first embodiment, a gas containing fluorocarbon, for example, a gas containing C 5 F 8 is preferable. Specific examples (: 5 8 + ⁇ 2 + (: 0 + eight can be exemplified.
  • C 5 F 8 linear C 5 F 8 is preferred, and 2-C 5 F 8 is particularly preferred.
  • C 4 F 6 is also suitable as the fluorocarbon used for the etching gas.
  • the portion to be etched is SiO 2
  • the film is not limited to two films, but may be an oxide film (oxygen compound) such as TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic oxidized Si film, CORAL (Novelas), etc. It is applicable to etching of dielectric organic insulating films and the like.
  • the plasma processing apparatus is not limited to that shown in FIG. Next, an example of the method according to the second embodiment will be described.
  • the pressure inside the processing vessel is set to 107 Pa (800 mTorr), and the flow rates of the processing gases (first etching gas) N 2 and H 2 are each set to 0.6 LZm in.
  • the etching gas is 1, 2, 3, 3, 4, 4, 5, 5 fluor-cyclo-1-pentene (hereinafter referred to as “(: —C 5 F 8 ”). to.) in the case of a gas (example 2 1) containing the processing container pressure 2.
  • a 0 P a (1 5mTo rr) Etsu Chingugasu c one C 5 F 8, Ar, 0 2 flow rate Are 0.015 LZm in (15 sccm), 0.38 L / min (380 sccm), and 0.019 L / min (19 sccm), respectively.
  • the case of the etching gas is a gas containing 2-C 5 F 8 (example 2-2), the processing container the inner pressure 2. and 7 P a (2 OmTo rr) , the etching gas 2- C 5 F 8, a r , 0 2, the flow rate of CO, respectively it 0.
  • the plasma resistance of the ArF photoresist film was improved by using the plasma of the mixed gas of N 2 and H 2 , subsequent S I_ ⁇ 2 film in the second etching step of etching ring, selectivity to a r F photoresist film S i 0 2 film (S i 0 2 etch rate / a r F photoresist etch rate) was confirmed to be higher.
  • an alicyclic group-containing acrylic resin, a cycloolefin resin, and a cyclodextrin-lein-maleic anhydride resin can be used.
  • an organic polymer material or amorphous carbon can be used.
  • a gate valve (not shown) is opened, and the object to be processed W is carried into the processing container 62 and placed on the electrostatic chuck 71.
  • the gate valve is closed, the inside of the processing vessel 62 is depressurized by the exhaust device 95, and then the valve 88 is opened, and a processing gas, for example, H 2 is supplied from the processing gas supply source 90.
  • the pressure in 2 is set to a predetermined value.
  • the processing gas may be only H 2 , or a diluent gas such as Ar may be added, for example, at the same flow rate as H 2 .
  • another H-containing substance may be used as the processing gas.
  • the plasma treatment is performed for a predetermined time to improve the plasma resistance of the photoresist layer 144 and to etch the anti-reflection layer 142.
  • the photoresist layer 144 as the mask layer is irradiated with the plasma of the processing gas containing H at such a low pressure, the surface thereof is modified and the plasma resistance of the mask layer is improved.
  • the target layer is then etched by plasma etching through the opening pattern 144a of the photoresist layer 144.
  • the selectivity with respect to the mask layer that is, the etching rate of the layer to be etched / the etching rate of the mask layer can be increased.
  • H radicals act on the surface of the photoresist layer 144 to cause CH 4 from within the photoresist layer.
  • the processing gas does not include a substance having N. If the processing gas contains a substance containing N, it is considered that the sidewall surface of the mask layer is covered with a protective film containing C and N as a main component, which has an effect of improving plasma resistance. This is because H radicals cannot penetrate from the side wall surface to the inside, and the plasma resistance of the side wall surface of the mask layer cannot be improved over a wide width.
  • the processing pressure is preferably 8 to 3 O mT or 1 ⁇ from the viewpoint of further reducing the damage to the photoresist layer 144 in the processing.
  • the high-frequency power for plasma formation is supplied from the first high-frequency power supply 101 to the susceptor 65, the plasma resistance of the photoresist layer 144, which is a mask layer, is also improved. .
  • the frequency at this time is 100 MHz The above is preferred.
  • the susceptor 65 is supplied with another high-frequency power from the second high-frequency power supply 102, preferably with a frequency of 3 MHz or more, from the second high-frequency power supply 102, so that active species in the plasma, particularly ions Can be controlled.
  • This other high frequency power is preferably 100 W or less. This is because by performing the treatment in an atmosphere of low pressure and low power (low bias), damage to the photoresist layer 144, which is a mask layer, can be minimized.
  • H radicals penetrate from the sidewalls of the photoresist layer 144 to the inside, so that a thick portion from the sidewall surface of the photoresist layer 144 to the inside may be formed.
  • the plasma resistance can be improved. This is because the photoresist layer 144 is an organic material and contains carbon, so that such a surface modification action is remarkable.
  • the plasma resistance of the ArF photoresist and F2 photoresist constituting the photoresist layer 144 changes considerably before and after the plasma resistance improvement treatment. The effect is enormous when applied.
  • the anti-reflection layer 144 necessary for etching the layer to be etched is etched at the same time as the plasma resistance improving treatment, so that the photoresist layer 144 as a mask layer is almost etched.
  • the antireflection layer 144 can be etched without the need.
  • H2 in the processing vessel 62 is dissociated into various active species, and among the active species,
  • H radicals mainly contribute to the improvement of the plasma resistance of the photoresist layer 1443 serving as a mask layer
  • H radicals and ions mainly contribute to the etching of the antireflection layer 142. Since the contribution balance of these active species is excellent, the anti-reflection layer 142 can be effectively etched at the same time as the plasma resistance of the photoresist layer 144 as a mask layer is improved.
  • the second high-frequency power supply 102 The movement of ions in this active species can be controlled by supplying high-frequency power from a high-frequency power supply with a frequency of 3 MHz or more.
  • one carbon such as a mixed gas of the etching gas, for example C 4 F 6 and 0 2 and A r to E T suchingu the S I_ ⁇ 2 film 141 is etched layer the process gas gas supply, by applying a high frequency power was bra Zuma of the process gas from the first and second high-frequency power to the susceptor evening 65, the S I_ ⁇ 2 film 141 and photoresist layer 143 as a mask by the plasma Etch.
  • a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.
  • the etching target portion is not limited to the SiO 2 film, but may be TE ⁇ S, BPSG, PSG, S ⁇ G, thermal oxide film, HT ⁇ , FSG, organic oxide film. It is applicable to etching of oxide films (oxygen compounds) such as Si film, CORAL (Novelas) and low dielectric organic insulating films. Further, it is not limited to a photoresist material having low plasma resistance, such as an ArF photoresist or an F2 photoresist, but may be another organic photoresist layer. It may be a layer.
  • the configuration of the plasma processing apparatus is not limited to that shown in FIG.
  • the chamber internal pressure is set to 1.07 Pa (8.OmTorr), 4.00 Pa (3 OmT orr), and 13.3 Pa (10 OmT orr).
  • H 2 was supplied as a processing gas from a processing gas supply source.
  • the frequencies of the first and second high frequency power supplies were 100 MHz and 3.2 MHz, respectively, and the power was 2400 W and 500 W, respectively.
  • no power was supplied from the second high frequency power supply 0 W was also evaluated.
  • the evaluation was performed by observing the cross-sectional state of the mask layer with a microscope (SEM).
  • SEM microscope
  • the mask layer had less streak, groove, and enlargement of the opening at 0 W than at 500 W.
  • the power supplied from the second high-frequency power supply is preferably 100 W or less.
  • the pressure 1. 0 7 P a is fixed to (8. 0 mT orr), the flow rate of H 2 50mL / min (sc cm ), l O OmL / min (sc cm), 1 20 mL / ⁇ In (sc cm) and 200 mL / min (sccm), when the flow rate was small, there was less streaking into the mask layer and the expansion of the opening was smaller.
  • an alicyclic group-containing acrylic resin, a cycloolefin resin, and a cyclodextrin olefin monomaleic anhydride resin can be used.
  • an organic polymer material or amorphous carbon can be used.
  • such an etching step is a first etching step in which the antireflection film 152 is plasma-etched through the opening pattern 153a of the photoresist layer 1553, and a photoresist layer 15 a second E Tsuchingu etching the S I_ ⁇ two layers 1 5 1 partway through apertures patterns 3, 3 of the third etching step of further etching the S i 0 2-layer 1 5 1 after the second etching step Perform in stages.
  • Second etching step of these are carried out as the initial step of etching the S I_ ⁇ two layers 1 5
  • third etching step is carried out as a main etch process of S I_ ⁇ two layers 1 5 1.
  • the gate pulp 32 is opened, the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed and the inside of the processing vessel 2 is depressurized by the exhaust device 35, and then the valve 28 is opened, H 2 is supplied from the etching gas supply source 30 and the pressure in the processing vessel 2 is increased.
  • the force is set to a predetermined value.
  • the second high-frequency power supply 4 0, 5 0 to a high frequency power supplies, reflected back through the open Ropata Ichin of full photoresists layer 1 5 3 to act on the workpiece W by plasma of H 2 Etch barrier layer 152 (first etch; FIG. 6A).
  • a DC power supply 13 is applied to the electrode 12 in the electrostatic chuck 11 to obtain a workpiece W Is electrostatically attracted onto the electrostatic chuck 11.
  • a predetermined emission intensity is detected by an end point detector (not shown), and based on this, the supply of high frequency power is stopped and the first etching step is completed.
  • a mixed gas of CF 4 and H 2 is supplied into the same processing container or another processing container in the same manner as in the first etching step, and the Si ⁇ 2 layer is passed through the photoresist layer 15 3 opening pattern.
  • Part 1 is etched partway (second etching step; FIG. 6B).
  • a predetermined etching time for example, 60 seconds
  • different gas for example, supplying a mixed gas of linear C 5 F 8 and ⁇ 2 and A r is the second E etching process in the same manner as in the second etching step in the same process vessel or another processing vessel
  • the SiO 2 layer 15 1 is further etched (third etching step; FIG. 6C).
  • the third etching step is completed based on the detection of the end point.
  • the S i 0 2-layer 1 5 1 of a second etching process using a plasma of CF 4 and H 2 to A r F photoresist layer 1 5 3 surface is a mask layer, in particular S i 0
  • the protective film is formed more near the boundary between the two layers 151 and the deformation of the shape of the photoresist layer 153 can be suppressed in the subsequent third etching step.
  • the anti-reflection layer 152 is etched using H 2 plasma, so that the shape of the photoresist layer 153 in the third etching step is reduced. Deformation can be suppressed more effectively. This is probably because the oxygen atoms from the vicinity of the surface of the photoresist layer 1 5 3 a mask layer Ri by the plasma of H 2 is coupled between a strong carbon structurally desorbed is formed.
  • the effect of suppressing the deformation of the photoresist layer 153 by the plasma due to such a plasma is that the material is particularly easily deformed by the plasma (methacrylic acid resin is a resin in which methacrylic acid is incorporated in the structure). In this case, the effect is remarkable, but the same effect can be obtained with other resins such as an acrylic acid resin (a resin having acrylic acid incorporated in the structure).
  • an acrylic acid resin a resin having acrylic acid incorporated in the structure.
  • the etching rate is higher than H 2
  • the anti-reflection layer 15 2 can be etched at high speed by using CF 4 plasma, which has the least damage to the mask layer among fluorocarbons. it can.
  • CF 4 plasma which has the least damage to the mask layer among fluorocarbons. it can.
  • a gas containing linear C 5 F 8 and ⁇ 2 as an etching gas in the third etching step
  • the Si S 2 layer 151 which is the layer to be etched, is more anisotropic and more Can be etched quickly.
  • the etching gas in the third etching step is not limited to this, but is preferably a gas different from the mixed gas of CF 4 and H 2 used in the second etching step.
  • an etching gas containing fluorocarbon is preferably used. More preferably, the above-mentioned gas containing linear C 5 F 8 and ⁇ 2 is turned into plasma, and the main etching step of etching the remaining portion of the Si ⁇ 2 layer to be etched may be performed.
  • the above-mentioned gas containing linear C 5 F 8 and ⁇ 2 is turned into plasma, and the main etching step of etching the remaining portion of the Si ⁇ 2 layer to be etched may be performed.
  • a r F Fotore resist layer surface is many protective film formed by particular boundary vicinity between the S I_ ⁇ 2 layer is etched layer, A r F Photos in the subsequent main etch process Deformation of the shape of the resist layer can be suppressed.
  • the etching target portion is not limited to the SiO 2 film, but may be TEOS, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic oxide Si film. It can be applied to etching of oxide films (oxygen compounds) such as CORAL (Novelas) and low dielectric organic insulating films. Further, it is not limited to a photoresist material having low plasma resistance, such as an ArF photoresist or an F2 photoresist, but may be another organic photoresist layer. It may be.
  • the configuration of the plasma processing apparatus is not limited to that shown in FIG. Next, an example based on the present embodiment will be described.
  • the frequency of the first high-frequency power supply was set to 60 MHz
  • the frequency of the second high-frequency power supply was set to 2 MHz.
  • No.:! ⁇ 3 are those with A r F photoresist acrylic acid resin as a photoresist layer 1 53, both third Etsu quenching step the C 4 F 6 0 2 and and using the eight r, N o. 1 uses a CF 4 in the first etching step among them, those was not carried out a second etching step, the No.
  • No. 4 to 6 are those with A r F photoresist methacrylic acid resin as a photoresist layer 153, any third Etsu quenching step with linear C 5 F 8 ⁇ 2 and the A r Among these, N 0.4 used CF 4 in the first etching step and did not perform the second etching step, and No. 5 used CF 4 in the first etching step, and 2 those using an etching process and CF 4 and H 2, No. 6 has with H 2 in the first etch ring step, also of a is using a CF 4 and H 2 in the second etching step.
  • an etching target layer 16 1 such as a Si 2 layer formed on a base layer 160 such as Si as shown in FIG. (Example of thickness: 150 nm), an organic anti-reflection layer 16 2 covering this etching target layer 16 1 (Example of thickness: 60 nm), and this organic anti-reflection layer 16 2
  • An object to be processed W having a photoresist layer 163 made of an ArF photoresist or an F2 photoresist on which an opening pattern 16 3 a (example diameter: 0.18 m) is formed.
  • a step of plasma-etching the organic anti-reflection layer 162 through the opening pattern 163a of the photoresist layer 163, and a plasma etching of the layer 161 to be etched, followed by an opening pattern 161a Is performed.
  • description will be made with reference to FIGS. 7A to 7C and a flowchart of FIG.
  • Examples of the ArF photoresist and the F2 photoresist constituting the photoresist layer 163 include an alicyclic group-containing acrylic resin, a silicone resin, a cycloolefin-maleic anhydride resin, and a methacrylic acid resin. Can be used.
  • An organic polymer material can be used as the organic anti-reflection layer 162.
  • At least the surface of the upper electrode plate 24 of the plasma processing apparatus 1 is made of a material containing Si, such as single crystal Si and SiC.
  • the gate valve 32 is opened, the object W is carried into the processing container 2 (STE P 1), and is placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, and the processing vessel 2 is opened by the exhaust device 35.
  • the valve 28 is opened, H 2 gas is supplied from the processing gas supply source 30 (STEP 2), and the pressure in the processing container 2 is set to a predetermined value.
  • the supply of the high-frequency power and the etching gas is stopped, and the etching of the organic antireflection layer 16 2 is completed (FIG. 7B).
  • the emission intensity of a specific substance in the plasma may be detected by an end point detector (not shown), and the etching process may be terminated based on this.
  • at least S i and H 2 plasma photoresist layer surface is supplied from the upper electrode plate 2 4 made S i By acting on the surface of 163, a thin protective layer 163b containing Si_ ⁇ and Si—C is formed on the surface of the photoresist layer 163.
  • the organic antireflection layer 162 is plasma-etched through the open pattern 163a of the photoresist layer 163, a thin protective layer 163b is formed on the surface of the photoresist layer 163. Is formed, and the plasma resistance of the photoresist layer 163 can be improved without requiring another extra step. Therefore, the plasma resistance of the photoresist layer 163 can be maintained at a high level without causing surface roughness and striation when etching the organic antireflection layer 162.
  • C 5 F 8 , ⁇ 2 and Ar are supplied as an etching gas (STEP 4), and the same procedure as the etching of the organic anti-reflection layer 16 2 is performed.
  • the etching target layer 161 is plasma-etched through the opening pattern 163a of the photoresist layer 163 (STEP 5).
  • an opening pattern 161a having a high aspect ratio is formed in the etching target layer 161 (FIG. 7C).
  • the object to be processed W is taken out of the processing vessel 2 through the gate valve 32 (STEP 6).
  • the protective layer 16 3 b is formed on the surface of the photoresist layer 16 3, so that a high plasma resistance state is obtained. Therefore, even in the plasma etching of the etching target layer 161, the plasma resistance of the photoresist layer 163 and the selectivity of the etching target layer 161 to the photoresist layer 163 are kept high. Therefore, the surface of the photoresist layer 163 does not have a rough surface or a vertical streak, and the etching target layer 161 has a high etching rate. For plasma etching. As a result, the throughput in the plasma etching step is improved in combination with the fact that another extra step is not required. Also, since no vertical streak occurs in the opening pattern 16 3 of the photoresist layer 16 3, the opening pattern 16 1 a formed in the etching target layer 16 1 using the photoresist layer 16 3 as a mask Accuracy is also improved.
  • the photoresists layer 1 6 3 resistant plasma resistance from the viewpoint of improvement of the photoresists layer 1 6 3 resistant plasma resistance, it is possible to use 116, N 2 11 2 algebraic Wari.
  • the organic antireflection layer 16 2 is hardly etched.
  • the organic anti-reflection layer 162 may not be provided.
  • the plasma resistance of the photoresist layer 163 is mainly improved by at least one type of plasma treatment of H 2 , He, and N 2. Processing can be performed.
  • FIGS. 9A to 9C a modification of the present embodiment will be described with reference to FIGS. 9A to 9C and a flowchart of FIG.
  • the organic anti-reflection layer 16 2 is etched with CF 4 gas plasma, and prior to etching of the etching target layer 16 1, the photoresist layer 16 3 is formed by plasma treatment with H 2 gas.
  • a protective layer 16 3 b is formed on the surface of the substrate will be described.
  • the gate valve 32 is opened, the workpiece W is carried into the processing vessel 2 (STE P 11), and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, the inside of the processing vessel 2 is depressurized by the exhaust device 35, and then the valve 28 is opened to supply CF 4 gas from the processing gas supply source 30 (STEP). 1 2),
  • the pressure in the processing container 2 is set to a predetermined value.
  • the organic antireflection layer 1 6 2 is etched ring through the opening pattern of (S TE P 1 3) ( Figure 9 A).
  • a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 to be exposed.
  • the processing object W is electrostatically attracted onto the electrostatic chuck 11. After the etching for a predetermined time, the supply of the high-frequency power and the etching gas is stopped, and the etching of the organic antireflection layer 16 is completed.
  • the emission intensity of a specific substance in the plasma may be detected by a final inspection device (not shown), and the etching process may be terminated based on the detected intensity.
  • the gas supplied to the processing vessel 2 is switched to H 2 gas (STEP 14), the H 2 gas is turned into plasma, and the H 2 plasma and Si supplied from the upper electrode plate 24 are photo-photographed.
  • a thin protective layer 163b containing Si—O, Si—C, etc. is formed on the surface of the photoresist layer 163 (S TE P 15) (FIG. 9B).
  • the opening pattern 16 3 of the photoresist layer 16 3 is formed in the same procedure as the etching of the organic anti-reflection layer 16 2.
  • Plasma-etch the target layer 16 1 through a step 1 '7).
  • an opening pattern 161a having a high aspect ratio is formed (FIG. 9C).
  • the processing object W is taken out of the processing container 2 through the gate valve 32 (STEP 18).
  • the protective layer 16 3 b is formed on the surface of the photoresist layer 16 3.
  • the film has high plasma resistance, so that the plasma resistance of the photoresist layer 163 and the etching selectivity to the mask are kept high.
  • the opening pattern 161a can be formed by plasma etching under the condition of a high etching rate without causing the photoresist layer 163 to have a rough surface or a vertical streak. As a result, the throughput in the plasma etching step is improved in combination with the fact that no extra step is required.
  • the etching target layer 1 6 1 is not limited to the S i oxides represented by S i 0 2 which illustrated, S i nitride compound, other S i compounds such as S i carbide, single crystal S 1, polycrystalline S i, Organic materials, organic-inorganic hybrid materials, metals, metal compounds, etc. are applicable.
  • a photoresist having low plasma resistance such as the exemplified ArF photoresist II F2 photoresist, is used.
  • the present invention is not limited to this, and other organic photoresist layers such as an EB resist that performs lithography using an electron beam, an EUV resist that performs lithography using vacuum ultraviolet rays, and a KrF resist are also used. The above effect can be obtained. Further, the present invention is not limited to the photoresist layer, and may be another mask layer. Further, the configuration of the plasma processing apparatus is not limited to that shown in FIG.
  • the upper electrode plate was used as the Si source when forming the protective layer, the present invention is not limited to this, and at least the surface of the components inside the processing vessel, such as the focus ring, the shield ring, By including i, it can be used as a similar Si source.
  • the upper electrode plate is provided so as to face the object, there is an advantage that the treatment for improving the plasma resistance can be uniformly performed in the plane of the object, which is preferable.
  • the frequencies of the first high-frequency power supply 40 and the second high-frequency power supply 50 in each of the following Examples and Comparative Examples were 60 MHz and 13.56 MHz, respectively.
  • Processing vessel pressure 2.0 Pa (15 mT orr)
  • High frequency power from the first high frequency power supply 2200 W
  • High frequency power from the second high frequency power supply 100 W
  • Processing vessel pressure 2.0 Pa (15 mT orr)
  • High frequency power from the first high frequency power supply 2200 W
  • Processing vessel pressure 2.0 Pa (15 mT orr)
  • High frequency power from the first high frequency power supply 2200 W
  • High frequency power from the second high frequency power supply 100 W
  • He is 0. l LZm inn (l O O s c cm)
  • High frequency power from the first high frequency power supply 2200 W
  • High frequency power from the second high frequency power supply 100 W
  • FIG. 1 A and FIG. 1 1 B respectively that put the Akuriru system and Metaku Lil based photoresists layer using A r F photoresist Bok of surface analysis result immediately after plasma treatment (H 2, N 2, H e, a diagram respectively indicated by Ar).
  • H 2, N 2, H e a diagram respectively indicated by Ar.
  • acrylic and methacrylic In any of the ArF photoresists described above, the bonding energy corresponding to Si 1 O, Si 1 C, etc. is applied to the surface of the photoresist layer by the plasma treatment using H 2 , N 2 , and He plasmas. It was observed that there was a protective layer containing the substance having.
  • the adhesion of Si to the photoresist layer surface also improves plasma resistance, but in this case, there may be inconvenience that Si attaches to holes near the layer to be etched after asshing. . From this point, it was confirmed that H 2 , N 2 , and He should be used for the plasma treatment.
  • An object to be processed W having an etching target layer, an organic anti-reflection layer covering the etching target layer, and a photoresist layer having an opening pattern formed to cover the organic anti-reflection layer is processed under the following conditions. Then, the photoresist layer 163 was subjected to a plasma treatment under the same conditions as in Examples 5-1 to 5-3 and Comparative Example 5-11 (FIGS. 9A and 9B, STE in FIG. 10). P 11 to 15).
  • Processing vessel pressure 6.7 Pa (50 mT or r)
  • High frequency power from the first high frequency power supply 100 W
  • Etching gas and its flow rate CF 4 0.1 LZm in (100 sccm)
  • the layer 16 1 to be etched was etched under the following conditions (FIG. 9C, STEP 16 to 18 in FIG. 5).
  • Processing vessel pressure 2.0 Pa (15 mT orr)
  • High-frequency power from the first high-frequency power supply 2170 W
  • High frequency power from the second high frequency power supply 1550 W
  • the photoresist layer 163 is formed before the etching of the organic anti-reflection layer and the layer to be etched.
  • the surface roughness and vertical streak of the ArF photoresist layer after the etching of the layer to be etched were less than in the case of performing the plasma treatment.
  • E etching rate is large at the etching of the organic antireflection layer using a relatively small CF 4 plasma damage to A r F photoresist, then, by plasma treatment of A r F Fuotore resist layer, and then, Etching target layer If tuning is performed, throughput and etching accuracy can be improved.
  • the opening pattern of the photoresist mask layer 17 3 is formed on the workpiece W having the photoresist layer 17 3 composed of an Ar F photoresist or an F 2 photoresist having an opening pattern covering the opening 2.
  • a step of plasma-etching the anti-reflection layer 172 through the 173a is performed.
  • an alicyclic-containing acrylic resin, a cycloolefin resin, a cycloolefin monomaleic anhydride resin, or the like can be used as the ArF photoresist and the F2 photoresist.
  • an alicyclic-containing acrylic resin, a cycloolefin resin, a cycloolefin monomaleic anhydride resin, or the like can be used as the ArF photoresist and the F2 photoresist.
  • an inorganic material or an organic material can be used as the antireflection layer 62.
  • amorphous carbon that is a carbon-containing material or an organic polymer material can be used as the ArF photoresist and the F2 photoresist.
  • the gate valve 32 is opened, the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, the inside of the processing vessel 2 is depressurized by the exhaust device 35, and then the valve 28 is opened, and the above processing gas, for example, C 2 F 4 and 0 is supplied from the processing gas supply source 30. 2 and supplies, to the pressure in the processing container 2 to a predetermined value.
  • a high-frequency power is applied to the upper electrode 21 and the lower electrode susceptor 5, and the processing gas is turned into plasma to turn the anti-reflection layer 17 2 in the object W into a photoresist mask layer 17 3. Etch through the opening pattern 173a.
  • a DC voltage is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high-frequency power to the upper and lower electrodes, and the workpiece W is electrostatically attracted to the electrostatic chuck 11.
  • a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.
  • the anti-reflection layer 17 2 is etched through the photoresist layer 173 using the processing gas containing C 2 F 4 as described above, for example, the processing gas containing C 2 F 4 and O 2.
  • the surface roughness of the photoresist layer 173 can be suppressed, the selectivity of the antireflection layer to the photoresist layer can be kept high, and the etching rate of the antireflection layer 172 can be increased.
  • the present invention can be variously modified without being limited to the above embodiment.
  • the present invention is not limited to this, and another layer may be etched.
  • the process gas containing C 2 F 4 not limited to those containing the C 2 F 4 0 2 and.
  • the mask layer is not limited to the ArF photoresist and the F2 photoresist, and other photoresists can be used. Further, a non-resist mask layer can also be used.
  • the configuration of the etching apparatus is not limited to that shown in FIG.
  • the selectivity of the anti-reflection layer to the ArF photoresist mask layer was determined as in the example and FIG.
  • the etching rate of the antireflection layer was 1.2 to 3.6 times that of the comparative example in the practical example.
  • the surface roughness of the ArF photoresist mask layer did not occur. From this, it was confirmed that the antireflection film can be etched at a high etching rate without causing surface roughness of the ArF photoresist mask layer according to the example.
  • the antireflection layer 18 2 is passed through the opening pattern 18 3 a of the photoresist layer 18 3.
  • the step of etching and the step of etching the Si ⁇ 2 layer 181 after this step will be described.
  • an alicyclic-containing acrylic resin, a cycloolefin resin, or a cycloolefin-maleic anhydride resin can be used.
  • organic polymer material camphor foam can be used.
  • the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, and the inside of the processing container 2 is depressurized by the exhaust device 35, and then the valve 28 is opened, and the substance having C and F and H from the processing gas supply source 30 are contained.
  • object The etching gas containing the gas is supplied, and the pressure in the processing vessel 2 is set to a predetermined value, for example, 6.66 Pa (5 OmTorr).
  • a predetermined value for example, 6.66 Pa (5 OmTorr).
  • CF 4 which causes less damage to the ArF photoresist layer.
  • hydrocarbon, H 2 , and hydrofluorocarbon can be used as the substance having H.
  • CH 4 and the like are exemplified as the hydropower.
  • fluoridated fluorocarbon a substance in which the ratio of the number of H atoms to the number of F atoms is 3 or more is suitable, and CH 3 F is exemplified as such a substance.
  • CH 3 F the ratio of the flow rate of CH 3 F to the flow rate of the substance having C and F in the etching gas is set to 0.04 to 0.07, so that CH 3 F is completely contained.
  • the remaining amount of the ArF photoresist layer after the etching of the anti-reflection layer can be considerably increased as compared with the case where no antireflection layer is etched.
  • a DC power source 13 is applied to the electrodes 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is electrostatically attracted to the electrostatic chuck 11 1. I do. When the etching of the antireflection layer 182 is completed in this way, the supply of the etching gas and the high-frequency power is stopped. '
  • etching gas into the processing chamber 2, for example, C 5 F 8 and supplies the 0 2 and a mixed gas of A r, a predetermined value the pressure in the processing container 2, for example 2. Adjust to 00 Pa (15 mT orr).
  • the high-frequency power is applied to the upper electrode 2 1 and the lower electrode der Ru susceptor evening 5, to etch the S I_ ⁇ two layers 1 8 1 of the specimen W in the etching gas into plasma ( Figure 1 3 B During the etching, a predetermined emission intensity is detected by an end point detector (not shown), and the etching is terminated based on this.
  • the etching target is not limited to the SiO 2 layer as described above.
  • TE ⁇ S, BPSG, PSG, SOG, thermal oxide film, HTO, FSG, organic oxide Si film, CORAL It can be applied to the etching of oxide films (oxygen compounds) such as Novellus Corporation and low dielectric organic insulating films. Further, the configuration of the applied plasma etching apparatus is not limited to that shown in FIG.
  • the object to be processed is a Si 2 layer (thickness: 2 / zm) as shown in Fig. 13A, an anti-reflection layer (thickness: 60 nm) that covers it, and an ArF photoresist that covers it further A layer having a thickness of 360 nm was used.
  • the etching conditions for the antireflection layer of the example were as follows. Chi words, the pressure in the processing container 2 6. with 66 P a (5 OmTo rr) , CF 4 ( flow rate 1 0 OmLZm in (sc cm) ) a Etsu Chingugasu and CH 3 F (flow rate 4 or 7mL / min (sc cm)), a high frequency power of 1000 W is applied to the upper electrode from a high frequency power supply of 60 MHz, and a 100 W high frequency power is applied to the lower electrode from a high frequency power supply of 2 MHz. Was applied.
  • H 2 flow rate is 5, 10 or 15 mLZmin (sc cm)
  • CH 2 F 2 flow rate is 5 or 1 OmL / min (sc cm)
  • CH 2 F flow rate is 5 or 1 OmL / min (sc cm)
  • CH 2 F respectively Etching was similarly performed with an etching gas using CHF 3 (flow rate was 10, 30, 50, or 7 OmL / min (sc cm)).
  • the etching gas was only CF 4 (flow rate was 10 OmL / min (sc cm)), and the other etching conditions were the same as those in the example.
  • the antireflection film 182 was etched under the conditions of the above Examples and Comparative Examples, and the thickness of the remaining film of the ArF photoresist layer after a certain etching time was measured.The following results were obtained. .
  • the flow rate when CH 3 F was used, the flow rate was 37 511111 for 4 mL Zm 111 and 405 nm for 37 mL Zmin.
  • the flow rate when H 2 is used, the flow rate was 5MLZm in the 345 nm 1 OmL Zm in a 1 5 mL / min at 3 60 nm.
  • the flow rate When CH 2 F 2 was used, the flow rate was 345 nm at 5 mL Zmin and 400 nm at 1 OmL / min.
  • CHF 3 the flow rate was 350 nm at 1 OmL / min, 360 nm at 30 mL Zmin, 360 nm at 5 OmL / min, and 390 nm at 7 OmL / min. On the other hand, it was 330 nm in the comparative example.
  • the thickness of the remaining film was increased in all the examples compared to the comparative example. This is probably because the F active species that etches the ArF photoresist layer reacts appropriately with the H active species generated from the H-containing gas to form a gas such as HF and is discharged out of the processing vessel.
  • CH 3 F was particularly excellent.
  • the chemically stable are substances such as H 2, the predominant is better to re-bond by reacting with another H active species than be generated H active species react with F active species It was assumed that there was, and the amount of remaining film was not much higher than that of other substances.
  • the substance itself is to some extent unstable and contains a large number of H atoms, such as hydride carbon (CH C 2 H 4 etc.) It was confirmed that it is advisable to use a fluoride gas such as fluorene carbonate (especially one with a ratio of the number of H atoms to the number of F atoms of 3 or more, for example, CH 3 F) in the etching gas.
  • a fluoride gas such as fluorene carbonate (especially one with a ratio of the number of H atoms to the number of F atoms of 3 or more, for example, CH 3 F) in the etching gas.
  • CH 3 F when CH 3 F is used, the residual film is formed even if the ratio of the flow rate of CH 3 F to the flow rate of CF 4 , which is a substance containing C and F, is as small as 0.04 to 0.07. It was also confirmed that the amount could be increased.
  • the SiO 2 layer 191 which is the layer to be etched, the antireflection layer 192 covering this, and the A r a step of etching the antireflection layer 192 through the opening pattern 193a of the photoresist layer 193 with respect to the object W having the photoresist layer 193 made of F photoresist or F2 photoresist, And a step of etching the SiO 2 layer 191 after this step.
  • an alicyclic group-containing acrylic resin, a cycloolefin resin, or a cycloolefin-maleic anhydride resin can be used.
  • organic polymer material camphor foam can be used.
  • the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, and the inside of the processing vessel 2 is depressurized by the exhaust device 35.
  • the valve 28 is opened, and the first etching gas containing CO and a substance having C and F is supplied from the processing gas supply source 30.
  • the processing gas supply source 30 for example, supplying a mixed gas of CF 4 and CO, a predetermined value the pressure in the processing container 2, for example 1 3. shall be the 3 P a (l O OmTo rr ).
  • a high-frequency power is applied to the upper electrode 21 and the lower electrode susceptor 5, and the first etching gas is turned into plasma to reflect in the workpiece W.
  • Etch barrier layer 192 (FIG. 14A).
  • a DC power supply 13 is applied to the electrode 12 in the electrostatic chuck 11 before and after the timing of applying the high-frequency power to the upper and lower electrodes, and the workpiece W is electrostatically attracted to the electrostatic chuck 11.
  • the etching of the antireflection layer 192 is completed, the supply of the first etching gas and the high-frequency power is stopped.
  • the second etching gas into the processing chamber 2, for example, C 5 F 8, C 4 gas containing Furuorokabon such as F 6, in particular of the C 5 F 8 or C 4 F 6 and ⁇ 2 and A r
  • the mixed gas is supplied, and the pressure in the processing vessel 2 is adjusted to a predetermined value of the second etching, for example, 2.00 Pa (15 mTorr).
  • a high-frequency power is applied to the upper electrode 21 and the lower electrode 5 as a susceptor, and the second etching gas is turned into plasma to etch the SiO 2 layer 191 in the object W (FIG. 14B).
  • a predetermined emission intensity is detected by a final inspection device (not shown), and the etching is terminated based on the detected intensity.
  • the etching target portion is not limited to the S i 0 2 layer as described above, TE_ ⁇ _S, B PS G, P SG, S_ ⁇ _G, thermal oxide film, HTO, FSG, organic oxide S i It can be applied to the etching of oxide films (oxygen compounds) such as films, CORAL (Novelas) and low dielectric organic insulating films. Further, the configuration of the applied plasma etching apparatus is not limited to that shown in FIG.
  • the object to be processed was that shown in FIG. 14A.
  • the first etching conditions of the example were as follows. That is, the pressure in the processing container 2 6. with 66 P a (5 OmT orr) or 1 3. 3 P a (l O OmTo rr), the flow rate of the first etching gas CF 4: 7 5, 1 00 or 2001111 ⁇ 7 min (sc cm), CO: 25, 100 or 200 mL / min (sc cm) .Apply 1000, 1500 or 2000 W high frequency power from a high frequency power supply of 60 MHz to the upper electrode, and apply 100 W high frequency power from a high frequency power supply of 2 MHz to the lower electrode.
  • 66 P a 5 OmT orr
  • 1 3. 3 P a (l O OmTo rr)
  • the flow rate of the first etching gas CF 4 7 5, 1 00 or 2001111 ⁇ 7 min (sc cm), CO: 25, 100 or 200 mL / min (sc cm) .
  • the first etching conditions of the comparative example were as follows. That is, the container pressure 6. with 66 P a (5 OmT orr) , added at a flow rate of the first etching gas CF 4 alone 10 OmLZm in (sccm) (the CO without addition), the high frequency power source And the applied power were the same as in the example.
  • the second etching conditions of the example and the comparative example were as follows. Ie, the processing container pressure 2.
  • the etching selection ratio (S i 0 2 layer against the A r F photoresist layer of S I_ ⁇ two layers in the second etching step
  • the rate of the etching rate of the ZA rF photoresist layer was much higher in the example than in the comparative example.
  • the selection ratio at the time of 1000 W was 9.7, and the selection ratio of the comparative example was 6.3.
  • the plasma of a gas containing a substance having C and F and C 0 Since it is considered that a protective film having a carbon-carbon bond is formed on the surface of the ArF photoresist layer, the plasma of a gas containing CO and a substance having C and F is merely formed on the surface of the ArF photoresist layer. Irradiation alone can improve the plasma resistance of the ArF photoresist layer.
  • the present invention can be applied to a mask layer other than the ArF photoresist layer, although the effect of improving the plasma resistance is not as great as that of the ArF photoresist layer.
  • the second etching gas may be C 5 is not limited to a gas containing F 8 or C 4 F 6, fluorosilicone force one Pont, also gas containing other fluorine-containing compounds such as Hyde port fluorocarbon used.
  • the SiO 2 layer 201 to be etched, the organic anti-reflection layer 202 covering this An object to be processed W having an ArF photoresist or a photoresist layer 203 made of F2 photoresist on which an opening pattern 203 covering the organic antireflection layer 202 is formed, through the opening pattern of the register strike layer 2 0 3, carrying out the organic anti-reflective layer 2 0 2 and a step of plasma etching, and then a step of plasma Etsu Jin grayed the S i 0 2 layers 2 0 1.
  • an alicyclic group-containing acrylic resin, a critic resin, a cycloolefin-maleic anhydride resin can be used as the ArF photoresist and the F2 photoresist.
  • the organic antireflection layer 202 is formed of, for example, an organic polymer material.
  • the gate valve 32 is opened, and the object W is loaded into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, the pressure in the processing chamber 2 is reduced by the exhaust device 35, and the valve 28 is opened.
  • an etching gas containing Si F 4 which is a Si-containing substance, is supplied from the etching gas supply source 30 to set the pressure in the processing chamber 2 to a predetermined value.
  • the etching gas may contain CHF 3 , HBr, He or H 2 in addition to the Si-containing substance, for example, Si F 4 and H 2 are used.
  • the Si ⁇ 2 layer 201 is plasma-etched through the opening pattern 203 a of the photoresist layer in the same procedure as the etching of the organic antireflection layer 202.
  • the etching gas in this case, for example, C 4 F 6 and 0 2 and can be used, A r is not limited thereto.
  • the etching gas of the organic antireflection layer 202 contains H 2
  • the conversion into C-bonding, combined with the formation of a thin hardened layer containing Si on the surface of the photoresist layer 203, can further improve plasma resistance.
  • the photoresist layer 203 which has improved plasma resistance when the organic antireflection layer 202 is etched, is plasma-resistant even in the plasma etching of the SiO 2 layer 201 to be etched. Is maintained high, and plasma etching can be performed without causing surface roughening or vertical streaking of the photoresist layer.
  • the layer to be etched is not limited to the Si oxide represented by the above-mentioned Si ⁇ ⁇ 2, but may be other Si compounds such as Si nitride, Si carbide, single crystal i, polycrystalline S i, organic materials, organic-inorganic hybrid materials, metals, metal compounds, etc. are applicable. Further, the configuration of the plasma processing apparatus is not limited to that shown in FIG.
  • the etching of the organic anti-reflection layer using various etching gases containing a substance containing Si using the object having the structure shown in FIG. 15 (Examples 9-11 to 9-17) and The organic antireflection layer was etched using an etching gas having no Si-containing substance (Comparative Examples 9-1 and 9-2).
  • the frequencies of the first high-frequency power supply and the second high-frequency power supply in each example and comparative example were set to 60 MHz and 13.56 MHz, respectively.
  • High frequency power from the first high frequency power supply 300 W
  • High frequency power from the first high frequency power supply 700 W
  • High frequency power from the second high frequency power supply 100 W
  • High frequency power from the first high frequency power supply 300 W
  • High frequency power from the second high frequency power supply 60 W
  • High frequency power from the first high frequency power supply 300 W
  • High frequency power from the second high frequency power supply 60W Etching gas and its flow rate:
  • Processing chamber pressure 0.67 Pa (5 mTorr)
  • High frequency power from the first high frequency power supply 300 W
  • High frequency power from the second high frequency power supply 60 W
  • Etching gas and its flow rate
  • Processing container pressure 6.7 Pa (5 OmTorr)
  • High frequency power from the first high frequency power supply 1000 W
  • High frequency power from the second high frequency power supply 100 W Etching gas and its flow rate:
  • Processing container pressure 6.7 Pa (5 OmTorr) High frequency power from the first high frequency power supply: 1 000 W High frequency power from the second high frequency power supply: 100 W
  • High frequency power from the second high frequency power supply 350W
  • the ArF photoresist and the F2 photoresist an alicyclic-containing acrylic resin, a cycloolefin resin, a cycloolefin-maleic anhydride resin, a methyl acrylate resin, or the like may be used. it can.
  • the upper electrode plate 24 which is also a shower head in the apparatus of FIG. 1 is made of Si.
  • the gate valve 32 is opened, and the object to be processed W is carried into the processing container 2 and placed on the electrostatic chuck 11.
  • the gate valve 32 is closed, the inside of the processing vessel 2 is depressurized by the exhaust device 35, and then the valve 28 is opened, and an inert gas, for example, Ar is supplied from the processing gas supply source 30 to the processing vessel.
  • the pressure in 2 is assumed to be, for example, 1.33 Pa (10 mTorr). Inert gases such as Kr, Xe, etc. May be used.
  • high-frequency power is applied to the upper electrode 21 and the lower electrode susceptor 5 from the high-frequency power supplies 40 and 50, respectively, and at least a part of the inert gas is ionized to form the upper electrode made of Si.
  • a DC power source 13 is applied to the electrodes 12 in the electrostatic chuck 11 before and after the timing of applying the high frequency power to the upper and lower electrodes, and the workpiece W is placed on the electrostatic chuck 11. To be electrostatically attracted.
  • the high-frequency power applied to the upper electrode 21 is energy that promotes ionization of the inert gas.
  • a Si-containing layer 2 13 can be formed on the surface of the mask layer 2 12, as shown in FIG. 16B. If the time for forming the Si-containing layer 2 13 on the surface of the mask layer 2 12 is too short, the effect of improving the plasma resistance is insufficient, and if it is too long, the layer to be etched at the opening of the mask layer 2 12 It is preferable to select an appropriate time since a large amount of the Si-containing layer is also formed on the surface of the 211 surface, which hinders subsequent etching.
  • the time of the above processing is preferably in a range of 60 to 90 seconds.
  • the above conditions are more effective when the upper electrode applied power is set to 125 W and the susceptor applied power is set to 400 W (the so-called V PP is lowered).
  • the change of the opening shape of the mask layer at the time can be reduced. If V pp is too high, the opening of the mask layer will be widened, and it will be impossible to create a hole or groove in the opening pattern designed in the subsequent etching process.
  • the application of the high-frequency power is stopped.
  • an etching gas is introduced into the processing container 2, and high-frequency power is applied to the upper electrode 21 and the susceptor 5 to etch the etching target layer 211.
  • the etching target layer 211 is formed of Si oxide, it is a gas containing at least one selected from C 4 F 6 , C 4 F 8 , and C 5 F 8 Is preferred.
  • a mixed gas of C 4 F 6 , ⁇ 2 and Ar is exemplified.
  • the pressure in the processing vessel 2 is 2.67 Pa (20 mTorr)
  • the high-frequency power applied to the upper electrode 21 and the susceptor 5 is 160 W and 800 W, respectively. Is exemplified.
  • the frequency of the high-frequency power at this time is, for example, 60 MHz or 2 MHz, which is the same as in the sputtering.
  • the application of high frequency power transforms the etching gas into a plasma, and etches the etching target layer 211 made of, for example, Si oxide.
  • stop applying the etching gas and the high-frequency power is, for example, 60 MHz or 2 MHz, which is the same as in the sputtering.
  • the selectivity of the etching target layer 211 to the mask layer 212 (the etching ratio of the etching target layer to the etching target layer)
  • the etching rate was 28.8.
  • the etching selectivity was 8.2 when the Si-containing layer was not formed on the surface of the mask layer 212.
  • a step of removing the mask layer 211 on which the Si-containing layer 21 is formed is subsequently performed.
  • an example is shown in which the removal of the mask layer 211 having the Si-containing layer 211 formed on the surface is performed in multiple stages.
  • a gas containing fluorine for example, CF 4 is introduced into the processing vessel 2, high-frequency power is applied to the upper electrode 21 and the susceptor 5 for a predetermined time, and the S layer formed on the mask layer 212 is formed.
  • the i-containing layer 2 13 is almost completely removed.
  • the Si-containing layer 2 13 can be almost completely removed.
  • the gas for this in the case of using the added gas to CF 4 0 2 and A r is had damage to the mask layer 2 1 2 consisting of A r F photoresist. Therefore, either by using the CF 4 alone gas is preferably a small amount in the case of adding the CF 4 0 2 and A r like.
  • the gas containing a fluorine compound but may be used CF 4 gas other than, the damage to the mask layer 2 1 2 consisting of A r F follower Bok Regis Bok etc. of the underlying S i containing layer 2 1 3 It is preferable to use CF 4 from the viewpoint of reducing the amount.
  • the processing gas may be a gas containing no fluorine compound, for example, a gas containing 0 2 gas alone, a mixed gas containing O 2 and N 2 or Ar, or a mixed gas containing ⁇ 2 , N 2 and H 2. It is preferable to use such as.
  • This second stage processing was actually performed. In this case, the pressure, the high frequency power, the frequency of the high frequency power supply, and the like were not changed from the example in the first stage, and the asshing was performed by changing only the processing gas.
  • the inert gas is ionized by the energy when high-frequency power is applied to the parallel plate type electrode, whereby the upper electrode plate 24 made of Si is sputtered to form the mask layer 2. Since the Si-containing layer is formed by adhering to the surface of No. 12, the plasma resistance can be considerably improved as compared with the mask layer itself. In particular, when an ArF photoresist or an F2 photoresist having low plasma resistance is used as the mask layer 212, the effect of improving the plasma resistance is remarkable.
  • the Si-containing layer 211 is removed in multiple steps, ie, the removal of the Si-containing layer 211 and the removal of the mask layer 212 itself. Even when the mask 3 and the mask layer are formed, it is possible to perform the removal suitable for the properties of each layer. Of course, it is also possible to remove the Si-containing layer 211 and the mask layer 212 all at once. Which one to use may be determined by comparing the overall advantages and disadvantages of multi-step and one-time removal.
  • the target for forming the Si-containing layer on the mask layer by sputtering is not limited to the above-described upper electrode plate, and at least a part of the surface disposed in the processing container is Si. If it is a member, another member such as a focus ring may be used. Alternatively, a Si member may be arranged as a target. In addition, other Si wafers (bare wafers) that have not been subjected to device processing can be used as targets by placing them in processing vessels. In addition, as Si used as a target, single crystal Si is convenient for performing sputtering.
  • the sputtering was performed using high-frequency energy using a parallel plate type apparatus for performing plasma etching.
  • the present invention is not limited to this, and at least energy for at least part of the inert gas to be ionized can be provided. Things can be adopted.
  • the energy is not limited to high-frequency energy but may be microphone mouth-wave energy.
  • a method in which an induction electromagnetic field is formed by applying antenna high-frequency power can be used, unlike the above-described parallel plate.
  • the method of forming the Si-containing layer 21 3 on the surface of the mask layer 21 is not limited to sputtering.
  • the Si-containing layer 213 may be formed on the surface of the mask layer 221 by CVD.
  • an organic silane-based gas or an inorganic silane-based gas can be used as a raw material gas, but an inorganic silane-based gas is more preferable.
  • CVD in this case can be carried out using these gases according to a conventional method.
  • the Si-containing layer 21 on the surface of the mask layer 21 As a method of forming the Si-containing layer 21 on the surface of the mask layer 21, a method of adding a Si compound such as SiF 4 to an etching gas can be adopted. This makes it possible to simultaneously improve the body plasma properties of the mask layer 211 made of an ArF photoresist or an F2 photoresist and to etch the etching target layer 211.
  • the etching target layer is as described above.
  • the material is not limited to Si oxide, and various materials such as SiC, SiN, organic low dielectric, SiOF, metal, and metal compound can be applied.
  • the layer formed on the surface of the mask layer contains Si as a main component, it is difficult to apply the method to a workpiece whose etching target layer is Si.
  • the mask layer is not limited to photoresist materials having low plasma resistance, such as ArF photoresist and F2 photoresist, but may be other organic photoresist layers, and is not limited to photoresist. Alternatively, another mask layer may be used.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

プラズマ処理方法は、表面に有機層を有する被処理体プラズマ処理方法は、表面に有機層を有する被処理体を準備する工程と、前記被処理体に対して、H2のプラズマを照射して前記有機層の耐プラズマ性を向上させる工程とを有する。

Description

明細 ^ プラズマ処理方法 [技術分野]
本発明は、 半導体装置の製造工程でなされるプラズマ処理方法に関す る。
[背景技術]
エッチング対象層をプラズマエッチングする際にはフォトレジスト等 のレジストマスクが用いられている。 特に最近では微細加工の要請に応 えて約 0 . 1 3 x m以下の開口パターンを形成するのに適した A r Fフ オトトレジス卜や F 2フォトレジス卜、 すなわち、 A r Fガスや F 2ガ スを発光源としたレーザ一光で露光するフォトレジストがよく使用され ている。
しかし、 A r Fフォトレジスト層や F 2フォトレジスト層は耐プラズ マ性が低いため、 エッチング途中でフォトレジスト層の表面が荒れてし まうという問題がある。 フォトレジスト層の表面が荒れてしまうことで、 エッチングの進行とともに開口部の形状が変化して、設計した形状のェ ツチング孔やエッチング溝が形成できなくなってしまう。また、エツチン グ途中で、 フォトレジスト層がなくなる箇所ができ、 本来エッチングし たくない箇所もエッチングされてしまう。
フォトレジスト層の耐プラズマ性を向上させる方法として、 フオトレ ジスト層表面に紫外線、電子線やイオンビームを照射する方法 (特開平 6 0 - 1 1 0 1 2 4号公報、 特開平 2 _ 2 5 2 2 3 3号公報、 特開昭 5 7 _ 1 5 7 5 2 3号公報)、 フォトレジストを加熱硬化する方法 (特開 平 4— 2 3 4 2 5号公報) や有機 S i化合物に熱や光のエネルギーを与 えて薄い硬化層をフォトレジスト層表面にコ一ティングする方法 (特開 平 2— 4 0 9 1 4号公報) がある。 ' 上記のフォトレジスト層の耐プラズマ性を向上させる方法では、 その 後のエッチング工程で使用する容器とは別の容器内で耐プラズマ性の向 上処理を行わなければならない。 フォトレジスト層の耐プラズマ性の向 上処理を行う容器からエッチング容器へ被処理体を搬送することは、 搬 送工程での歩留まりの低下や搬送時間によるスループットの低下を招く。 さらに、 耐プラズマ性の向上処理を行う容器をエッチング容器と別に設 けることは、 余分なスペースが必要であるばかりでなくコストアップを 招く。
また、 耐プラズマ性の向上処理を行う容器をエッチング容器と別に設 けず、 エッチング容器に紫外線照射手段や加熱手段を付加することも可 能だが、 紫外線照射手段や加熱手段が必要であることには変わりなく、 やはりコストアップを招いてしまう。
一方、 エッチング対象部を直接フォトレジスト層で覆うと、 その後の フォトレジスト層を露光 ·現像して開口パターンを形成する工程で、 開 口パターンの設計寸法精度が落ちてしまう。 このため、 エッチング対象 部とフォトレジストマスク層の間に反射防止層を挿入している。 この反 射防止層を Cと Fとを有する物質を含むガス、 例えば、 C 4 F 8と 0 2の 混合ガス、 H B rと C F 4と H eの混合ガス、 C H 2 F 2と C F 4と H e の混合ガスのプラズマでエッチングすることが提案されている (特開平 1 0 - 2 6 1 6 2号公報)。 反射防止層をエッチングするエッチングガ スとしては、 例えば C F 4と 0 2との混合ガスも知られている (特開平 7— 3 0 7 3 2 8号公報)。
しかしながら、 反射防止層を C F 8と O 2の混合ガスや C F 4と〇 2 の混合ガスのプラズマでエッチングした場合は、 A r Fフォトレジスト 層の表面が荒れたり、 A r Fフォトレジスト層に縦筋が入ったり、 マス ク層である A r Fフォトレジスト層も相当量エッチングされてしまいマ スクとしての機能を果たせなくなることもある。
[発明の開示]
本発明の目的は、 歩留まりの低下やスループッ卜の低下をもたらさず に、 かつコストアップを招くことなく、 A r Fフォトレジスト層等の有 機層の耐ェッチング性を向上させることができるプラズマ処理方法を提 供することにある。
また、 このようにして有機層の耐エッチング性を向上させつつプラズ マエッチングを行うことができるブラズマ処理方法を提供することにあ る。
さらに、 反射防止層やその下地のエッチング対象層をエッチングする 際に、 A r Fフォ卜レジスト層ゃ F 2フォ卜レジスト層等のマスク層の 耐プラズマ性を高く維持することができるプラズマ処理方法を提供する ことにある。
さらにまた、 A r Fフォトレジスト層ゃ F 2フォトレジスト層等のマ スク層の表面荒れを抑えながら、 かつ良好なエッチング選択比を維持し ながら、 大きなエッチングレートで下地の反射防止層やエッチング対象 層をエッチングすることができるプラズマ処理方法を提供することにあ る。
本発明の第 1の観点によれば、 表面に有機層を有する被処理体を準 備する工程と、 前記被処理体に対して、 H 2のプラズマを照射して前記 有機層の耐プラズマ性を向上させる工程とを有するプラズマ処理方法が 提供される。
本発明の第 2の観点によれば、 表面に有機層を有する被処理体を準備 する工程と、 前記被処理体に対して、 H 2と不活性ガスとを含む処理ガ スのプラズマを照射して前記有機層の耐プラズマ性を向上させる工程と を有するプラズマ処理方法が提供される。
本発明の第 3の観点によれば、 表面に有機層を有する被処理体を準備 する工程と、 前記被処理体に対して、 Hを有する物質と不活性ガスとを 含む処理ガスのプラズマを照射して前記有機層の耐プラズマ性を向上さ せる工程とを有するプラズマ処理方法が提供される。
本発明の第 4の観点によれば、 表面に A r Fフォトレジストまたは F
2フォトレジストからなるフォトレジスト層を有する被処理体を準備す る工程と、 前記被処理体に対して、 Hを有する物質を含む処理ガスのプ ラズマを照射して前記フォトレジスト層の耐プラズマ性を向上させるェ 程とを有するプラズマ処理方法が提供される。
本発明の第 5の観点によれば、 エッチング対象部と、 このエッチング 対象部を覆う、 開口パターンが形成された有機層とを有する被処理体を 処理容器内に配置する工程と、 前記処理容器内で Hを有する物質を含む 処理ガスをプラズマ化し、 前記有機層にそのプラズマを照射する工程と、 前記処理容器内でエッチングガスをプラズマ化し、 前記開口パターンを 通して前記エッチング対象部をエッチングする工程とを有するプラズマ 処理方法が提供される。
本発明の第 6の観点によれば、 表面に A r Fフォトレジストまたは F
2フォトレジストからなるフォトレジスト層を有する被処理体を準備す る工程と、 前記被処理体に対して、 Nを有する物質を含む処理ガスのプ ラズマを照射して前記フォトレジストの耐プラズマ性を向上させる工程 とを有するブラズマ処理方法が提供される。
本発明の第 7の観点によれば、 エッチング対象部と、 このエッチング 対象部を覆う反射防止層と、 この反射防止層を覆う、 開口パターンが形 JP2003/007960
5 成された A r Fフォトレジス卜または F 2フォトレジストからなるフォ トレジスト層とを有する被処理体を処理容器内に配置する工程と、 前記 処理容器に処理ガスを導入する工程と、 前記処理ガスをプラズマ化する 工程と、 そのプラズマを前記被処理体に作用させて、 前記フォトレジス ト層の耐プラズマ性を向上させるとともに、 前記開ロパタ一ンを通して 前記反射防止層をエッチングする工程とを有するプラズマ処理方法が提 供される。
本発明の第 8の観点によれば、 処理容器の中に、 エッチング対象層 と、 このエッチング対象層を覆う反射防止層と、 この反射防止膜層 を覆い開口パターンが形成されたマスク層とを有する被処理体を配 置する工程と、 前記処理容器内に H 2を含む処理ガスを導入するェ 程と、 前記処理ガスをプラズマ化する工程と、 前記プラズマにより、 前記マスク層の開口パターンを通して前記反射防止層を前記マスク 層に対して選択的にエッチングする工程とを有するプラズマ処理方 法が提供される。
本発明の第 9の観点によれば、 エッチング対象層と、 このエツチン グ対象層を覆う開口パターンが形成された、 A r Fフォトレジストまた は F 2フォトレジス卜で構成されたマスク層とを有する被処理体を載置 台に載置する工程と、 C F 4と H 2をプラズマ化し、 前記マスク層の開 口パターンを通して前記ェッチング対象層を途中までエッチングする初 期エッチング工程と、 この初期エッチング工程の後、 フロロ力一ボンを 含むエッチングガスをプラズマ化し、 前記エッチング対象層をエツチン グする主エッチング工程とを有するプラズマ処理方法が提供される。
本発明の第 1 0の観点によれば、 エッチング対象層と、 このエッチ ング対象層を覆う反射防止層と、 この反射防止層を覆う開口パターンが 形成されたァクリル酸樹脂からなるマスク層とを有する被処理体を載置 台に載置する工程と、 CF4をプラズマ化し、 前記マスク層の開ロパタ —ンを通して前記反射防止層をエッチングする第 1エッチング工程と、 C F4と H2をプラズマ化し、 前記マスク層の開ロパタ一ンを通して前 記エッチング対象層を途中までエッチングする第 2エッチング工程と、 この第 2エッチング工程の後、 フロロ力一ボンを含むエッチングガスを プラズマ化し、 前記エッチング対象層をエッチングする第 3エッチング 工程とを有するブラズマ処理方法が提供される。
本発明の第 1 1の観点によれば、 処理容器の中に配置されたサセプ 夕に、エッチング対象層とこのエツチング対象層を覆い開口が形成さ れたマスク層とを有する被処理体を載置する工程と、 前記処理容器 内に H2を含む処理ガスを導入する工程と、 前記サセプ夕に、 1 0 0 MH z以上の周波数の高周波電力と、 3MH z以上の周波数の高 周波電力と供給する工程と、 前記処理容器内の圧力を 1 3. 3 P a ( l O OmT o r r) 以下にする工程とを有するプラズマ処理方法 が提供される。
本発明の第 1 2の観点によれば、 エッチング対象部と、 このエツ チング対象部を覆う開口パターンが形成された、 A r Fフォトレジスト または F 2フォトレジストからなるフォトレジスト層とを有する被処理 体を処理容器内に配置する工程と、 前記処理容器内で Nを有する物質を 含む処理ガスをプラズマ化し、 前記フォトレジスト層に照射する工程と、 前記処理容器内でエッチングガスをプラズマ化し、 前記開ロパタ一ンを 通して前記エッチング対象部をエッチングする工程とを有するプラズマ 処理方法が提供される。
本発明の第 1 3の観点によれば、 エッチング対象部と、 このエツ チング対象部を覆う反射防止層と、 この反射防止層を覆う開口パターン が形成された A r Fフォトレジストまたは F 2フォトレジストからなる フォトレジスト層とを有する被処理体を処理容器内に配置する工程と、 前記処理容器内で Nを有する物質を含む処理ガスをプラズマ化し、 前記 開口パターンを通して前記反射防止層をエッチングする第 1エッチング 工程と、 前記処理容器内でエッチングガスをプラズマ化し、 前記開口パ ターンを通して前記エッチング対象部をエッチングする第 2エッチング 工程とを有するプラズマ処理方法が提供される。
第 1 4の観点によれば、 エッチング対象層と、 前記エッチング対象 層を覆う開口パターンが形成された有機マスク層とを有する被処理体を、 S iを含む物質の露出部を有する構成部材を備えた処理容器内に配置す る工程と、 前記処理容器内に H 2、 N 2および H eからなる群から選択 された少なくとも 1種の処理ガスを導入する工程と、 前記処理ガスをプ ラズマ化して、 前記有機マスク層をプラズマ処理する工程とを有するプ ラズマ処理方法が提供される。
第 1 5の観点によれば、 エッチング対象層と、 前記エッチング対 象層を覆う有機膜と、 前記有機膜を覆う開口パターンが形成された 有機マスク層とを有する被処理体を、 S i を含む物質の露出部を有 する構成部材を備えた処理容器内に配置する工程と、 前記処理容器 内にエッチングガスを導入する工程と、 前記エッチングガスをブラ ズマ化し、 前記有機マスク層の開口パターンを通して前記有機膜を エッチングする工程と、 前記処理容器内に H 2、 N 2および H eから なる群から選択された少なくとも 1種の処理ガスを導入する工程と、 前記処理ガスをプラズマ化して前記有機マスク層をプラズマ処理す る工程とを有するプラズマ処理方法が提供される。
第 1 6の観点によれば、 エッチング対象層と、 前記エッチング対 象層を覆う有機膜と、 前記有機膜を覆う開口パターンが形成された 有機マスク層とを有する被処理体を、 S i を含む物質の露出部を有 する構成部材を備えた処理容器内に配置する工程と、 前記処理容器 内に H 2を導入する工程と、 導入された H 2をプラズマ化し、 前記有 機マスク層の開ロパタ一ンを通して前記有機膜をエッチングするェ 程とを有するプラズマ処理方法が提供される。
本発明の第 1 7の観点によれば、 エッチング対象層と、 このエッチ ング対象層を覆う開口パターンが形成された A r Fフォ卜レジス卜また は F 2フォトレジストからなるフォトレジス卜層とを有する被処理体を 処理容器内に配置する工程と、 前記被処理体を収容した処理容器内に C 2 F 4を含む処理ガスを導入する工程と、 前記処理ガスをプラズマ化す る工程と、 前記処理ガスのプラズマにより、 前記被処理体中のエツチン グ対象層を、 前記フォトレジスト層の開口パターンを通してエッチング する工程とを有するプラズマ処理方法が提供される。
本発明の第 1 8の観点によれば、 エッチング対象層と、 このエツチン グ対象層を覆う開口パターンが形成されたマスク層とを有する被処理体 を処理容器内に配置する工程と、 前記被処理体を収容した処理容器内に C 2 F 4と 0 2と含む処理ガスを導入する工程と、 前記処理ガスをプラズ マ化する工程と、 前記処理ガスのプラズマにより、 前記被処理体中のェ ツチング対象層を、 前記マスク層の開口パターンを通してエッチングす る工程とを有するプラズマ処理方法が提供される。
本発明の第 1 9の観点によれば、 エッチング対象部と、 このエッチ ング対象部を覆う反射防止層と、 この反射防止層を覆う開口パターンが 形成された A 1- Fフォトレジス卜または F 2フォトレジス卜からなるフ オトレジスト層とを有する被処理体を処理容器内に配置する工程と、 前 記処理容器内で Cと Fとを有する物質と Hを有する物質とを含むエッチ ングガスをプラズマ化し、 前記開口パターンを介して前記反射防止層を エッチングする工程と、 前記エッチング対象部をエッチングする工程と を有するプラズマ処理方法が提供される。
本発明の第 2 0の観点によれば、 エッチング対象部と、 このエッチ ング対象部を覆う反射防止層と、 この反射防止層を覆う開口パターンが 形成されたマスク層とを有する被処理体を処理容器内に配置する工程と、 前記処理容器内で Cと Fとを有する物質とハイドロカーボンとを含むェ ツチングガスをプラズマ化し、 前記開口パターンを介して前記反射防止 層をエッチングする工程と、 前記エッチング対象部をエッチングするェ 程とを有するプラズマ処理方法が提供される。
本発明の第 2 1の観点によれば、 エッチング対象部と、 このエツチン グ対象部を覆う反射防止層と、 この反射防止層を覆う開口パターンが形 成されたマスク層とを有する被処理体を処理容器内に配置する工程と、 前記処理容器内で Cと Fとを有する物質と Cと Hと Fとを有し Fの原子 数に対する Hの原子数の比が 3以上の物質とを含むエッチングガスをプ ラズマ化し、 前記開口パターンを介して前記反射防止層をエッチングす る工程と、 前記エッチング対象部をエッチングする工程とを有するブラ ズマ処理方法が提供される。
本発明の第 2 2の観点によれば、 エッチング対象部と、 このエッチ ング対象部を覆う開口パターンが形成された、 A r Fフォトレジストま たは F 2フォトレジストからなるフォトレジスト層とを有する被処理体 を処理容器内に配置する工程と、 前記処理容器内で Cと Fとを有する物 質と C Oとを含む処理ガスをプラズマ化し、 そのプラズマを前記フォ卜 レジスト層に照射する工程と、 前記処理容器内でエッチングガスをブラ ズマ化し、 そのプラズマにより前記開口パターンを介して前記エツチン グ対象部をエッチングする工程とを有するブラズマ処理方法が提供され る。
本発明の第 2 3の観点によれば、 エッチング対象部と、 このエッチ ング対象部を覆う反射防止層と、 この反射防止層を覆う開口パターンが 形成された、 A r Fフォトレジストまたは F 2フォトレジストからなる フォトレジスト層とを有する被処理体を処理容器内に配置する工程と、 前記処理容器内で Cと Fとを有する物質と C 0とを含む第 1エッチング ガスをプラズマ化し、 そのプラズマにより前記開口パターンを介して前 記反射防止層をエッチングする第 1エッチング工程と、 前記処理容器内 で第 2エッチングガスをプラズマ化し、 そのプラズマにより前記開口パ ターンを介して前記エッチング対象部をエッチングする第 2エッチング 工程とを有するブラズマ処理方法が提供される。
本発明の第 2 4の観点によれば、 エッチング対象部と、 エッチング 対象部を覆う反射防止層と、 この反射防止層を覆う開口パターンが形成 されたマスク層とを有する被処理体を処理容器内に配置する工程と、 前 記処理容器内で C F 4と C〇とを含む第 1ェツチングガスをプラズマ化 し、 そのプラズマにより前記開ロパタ一ンを介して前記反射防止層をェ ツチングする第 1エッチング工程と、 前記処理容器内で第 2エッチング ガスをプラズマ化し、 そのプラズマにより前記開ロパタ一ンを介して前 記エツチング対象部をエッチングする第 2エツチング工程とを有するプ ラズマ処理方法が提供される。
本発明の第 2 5の観点によれば、 エッチング対象層と、 このエッチ ング対象層を覆う有機反射防止層と、 この有機反射防止層を覆う開口パ ターンが形成された A r Fフォトレジストまたは F 2フォトレジストか らなるフォトレジスト層とを有する被処理体を処理容器内に配置するェ 程と、 この処理容器内に S iを含む物質を有するエッチングガスを導入 する工程と、 このエッチングガスをプラズマ化し、 前記フォトレジスト 層の開口パターンを通して有機反射防止層をエッチングする工程とを有 するプラズマ処理方法。 本発明の第 2 6の観点によれば、 処理容器の中にあるサセプ夕に、 エッチング対象層とこのエツチング対象層を覆い開口が形成されたマス ク層とを有する被処理体を載置する工程と、 前記処理容器内に前記被処 理体と表面の少なくとも一部が S iである部材とが存在する下で前記処 理容器の中に不活性ガスを入れる工程と、 前記不活性ガスの少なくとも 一部をイオン化する高周波エネルギーを前記処理容器の中に与える工程 と、 前記処理容器の中にエッチングガスを導入する工程と、 そのエッチ ングガスをプラズマ化する工程と、 前記エツチングガスのブラズマによ り、 前記処理容器の中で前記マスク層の開口パターンを通して前記エツ チング対象層をエッチングする工程とを有するプラズマ処理方法が提供 される。
本発明の第 2 7の観点によれば、 処理容器の中にあるサセプ夕に、 エッチング対象層とこのエツチング対象層を覆い開ロパタ一ンが形成さ れたマスク層とを有する被処理体を載置する工程と、 前記処理容器内で 前記マスク層表面に S i含有層を形成する工程と、 前記処理容器内にェ ツチングガスを導入する工程と、 前記エッチングガスをプラズマ化する 工程と、 前記処理容器の中で、 前記エッチングガスのプラズマにより、 前記マスク層の開口パターンを通して前記ェッチング対象層をエツチン グする工程とを有するプラズマ処理方法が提供される。
本発明の第 2 8の観点によれば、 表面の少なくとも一部が S iである 部材と、 第 1電極と、 この第 1電極と対向位置にある第 2電極とが内部 に設けられた処理容器を準備する工程と、 前記処理容器内の前記第 1電 極に、 エツチング対象層とこのエッチング対象層を覆い開口パターンが 形成されたマスク層とを有する被処理体を載置する工程と、 前記処理容 器内に不活性ガスを導入する工程と、 前記第 1電極に高周波電力を印加 する工程と、 前記第 2電極に高周波電力を印加する工程と、 前記処理容 器の中にエッチングガスを導入する工程と、 前記処理容器の中で、 前記 高周波電力によりプラズマ化されたエッチングガスにより、 前記マスク 層の開口パターンを通して前記エッチング対象層をエッチングする工程 とを有するプラズマ処理方法が提供される。
本発明の第 2 9の観点によれば、 処理容器の中にあるサセプ夕に、ェ ツチング対象層とこのエッチング対象層を覆い開口パターンが形成され た A r Fフォトレジストまたは F 2フォトレジス卜からなるフォ卜レジ ス卜層とを有する被処理体を載置する工程と、 前記処理容器の中に S i 化合物を含むェッチングガスを導入する工程と、 前記エツチングガスを プラズマ化する工程と、 前記処理容器の中で、 前記エッチングガスのプ ラズマにより、 前記フ才トレジスト層の開口パターンを通して前記エツ チング対象層をエッチングする工程とを有するプラズマ処理方法が提供 される。
[図面の簡単な説明]
図 1は、 本発明のプラズマ処理方法が実施可能なプラズマ処理装置の 一例を示す断面図、
図 2は、 本発明のプラズマ処理方法が実施可能なプラズマ処理装置の 他の例を示す断面図、
図 3、 本発明の第 1の実施形態の実施に用いる被処理体を模式的に示 す断面図、
図 4 A、 4 Bは、 本発明の第 2の実施形態の実施に用いる被処理体の 状態を工程順に模式的に示す断面図、
図 5 A、 5 Bは、 本発明の第 3の実施形態の実施に用いる被処理体 を状態を工程順に模式的に示す断面図、
図 6 A、 6 B、 6 Cは、 本発明の第 4の実施形態の実施に用いる被処 理体の状態を工程順に模式的に示す断面図、 図 7 A、 7 B、 7 Cは、 本発明の第 5の実施形態の実施に用いる被処 理体の状態を工程順に模式的に示す断面図、
図 8は、 本発明の第 5の実施形態の一連の工程を示すフローチヤ一ト、 図 9 A、 9 B、 9 Cは、 本発明の第 5の実施形態の変形例の実施に用 いる被処理体の状態を工程順に模式的に示す断面図、
図 1 0は、 本発明の第 5の実施形態の変形例における一連の工程を示 すフローチヤ一ト、
図 1 1 A、 1 I Bは、 本発明の第 5の実施形態の実施例におけるブラ ズマ処理の効果を示すチヤ一ト、
図 1 2は、 本発明の第 6の実施形態の実施に用いる被処理体を模式的 に示す断面図、
図 1 3 A、 1 3 Bは、 本発明の第 7の実施形態の実施に用いる被処理 体の状態を工程順に模式的に示す断面図、
図 1 4 A、 1 4 Bは、 本発明の第 8の実施形態の実施に用いる被処理 体の状態を工程順に模式的に示す断面図、
図 1 5は、 本発明の第 9の実施形態の実施に用いる被処理体を模式的 に示す断面図、
図 1 6 A、 1 6 Bは、 本発明の第 1 0の実施形態の実施に用いる被処 理体の状態を工程順に模式的に示す断面図である。
[発明を実施するための最良の形態]
以下、 添付図面を参照して本発明の実施の形態について説明する。 図 1は、 本発明のプラズマ処理方法が実施可能なプラズマ処理装置の 一例を示す断面図である。
このプラズマ処理装置 1は、 処理容器 2を有する。 処理容器 2は金属、 例えば、 表面が酸化処理されたアルミニウムにより形成されていて、 保 安接地されている。 処理容器 2内の底部には絶縁体 3を介して、 平行平 板電極の下部電極として機能するサセプタ 5が設けられている。 このサ セプ夕 5には、 ハイパスフィルタ (H P F ) 6が接続されており、 さら に、 整合器 5 1を介して第 2の高周波電源 5 0が接続されている。 サセ プタ 5の上には静電チャック 1 1が設けられ、 その上には半導体ウェハ 等の被処理体 Wが載置される。
静電チャック 1 1は、 絶縁体間に電極 1 2が介在された構成をしてお り、 電極 1 2に接続された直流電源 1 3から直流電圧を印加することに より、 被処理体 Wを静電吸着する。 そして、 被処理体 Wを囲むようにァ ルミナ、 S iや S i〇2等からなるフォーカスリング 1 5が配置されて いて、 エッチングの均一性を向上させている。
また、 サセプタ 5の上方には、 サセプ夕 5と対向するように S i、 S i 0 2やアモルファスカーボン等からなるシャワーへッド状の上部電極 板 2 4が支持体 2 5に支持されて設けられている。 上部電極板 2 4と支 持体 2 5でサセプ夕 5に対向する平行平板電極の上部電極 2 1を構成し ている。 上部電極 2 1には、 ローパスフィルター 4 2が接続されており、 さらに整合器 4 1を介して第 1の高周波電源 4 0が接続されている。 上部電極 2 1の上面の中央にはガス導入口 2 6が設けられ、 このガス 導入口 2 6にはガス供給管 2 7が接続されており、 このガス供給管 2 7 には、 ガス導入口 2 6側から順に、 バルブ 2 8、 マスフローコント口一 ラ 2 9、 処理ガス供給源 3 0が接続されている。 この処理ガス供給源 3 0からは所定の処理ガスが供給される。
一方、 処理容器 2の底部には排気管 3 1が接続されており、 この排気 管 3 1には排気装置 3 5が接続されている。 また、 処理容器 2の側壁に はゲートパルプ 3 2があり、 被処理体 Wが、 隣接するロードロック室 (図示せず) との間で搬送されるようになっている。
このように構成される装置においては、 まず、 ゲートバルブ 3 2を開 放して、 被処理体 Wを処理容器 2内に搬入し、 静電チャック 1 1上に配 置する。 次いで、 ゲートバルブ 3 2を閉じ、 排気装置 3 5によって処理 容器 2内を減圧した後、 バルブ 2 8を開放し、 エッチングガス供給源 3 0から所定の処理ガスを供給し処理容器 2内の圧力を所定の値とする。 この状態で第 1、 第 2の高周波電源 4 0、 5 0から高周波電力を供給 して処理ガスをプラズマ化し、 被処理体 Wの所定の膜に対するプラズマ 処理 (耐プラズマ性向上処理またはプラズマエッチング) を実施する。 この場合に、 第 1、 第 2の高周波電源 4 0、 5 0から高周波電力を供給 するタイミングの前後に、 静電チャック 1 1内の電極 1 2に直流電圧を 印加して、 被処理体 Wを静電チャック 1 1上に静電吸着させ、 この状態 で所定のプラズマ処理を実施する。
図 2は、 本発明が実施されるプラズマ処理装置の他の例を示す断面図 である。 このプラズマエッチング装置 6 1は、 処理容器 6 2を有する。 処理容 器 6 2は小径の上部 6 2 aと大径の下部 6 2 bとからなる段つき円筒状 をなし、 金属、 例えば、 表面が酸化処理されたアルミニウムにより形成 されていて接地されている。処理容器 6 2内の底部には絶縁体 6 3を介 して、 平行平板電極の下部電極として機能する導電性材料、 例えば表面 が酸化処理されたアルミニウムからなるサセプ夕 6 5が設けられている。 サセプ夕 6 5の上には静電チャック 7 1が設けられ、 その上には半導体 ウェハ等の被処理体 Wが載置される。
静電チャック 7 1は、 絶縁体間に電極 7 2が介在された構成をしてお り、 電極 7 2に接続された直流電源 7 3を印加することにより、被処理 体 Wを静電吸着する。 そして、被処理体 Wを囲むように S iや S i〇2 等からなるフォーカスリング 7 5が配置されていて、 エッチングの均一 性を向上させている。 また、 サセプタ 6 5の上方には、 サセプ夕 6 5と対向するようにシャ ヮ一へッド状の S i等からなる上部電極板 8 1が処理容器 6 2の上部 6 2 aに支持されて設けられている。 処理容器 6 2がサセプ夕に対向する 平行平板型電極としても機能している。 処理容器 6 2の上部 6 2 aの周 囲には、 マルチポールリング磁石 8 2が回転可能に設けられている。 処理容器 6 2の上面の中央にはガス導入口 8 6が設けられ、このガス 導入口 8 6にはガス供給管 8 7が接続されており、 このガス供給管 8 7 には、 ガス導入口 8 6側から順に、 バルブ 8 8、 マスフ口一コントロー ラ 8 9、 処理ガス供給源 9 0が接続されている。 この処理ガス供給源 9 0からは所定の処理ガスが供給される。
一方、 処理容器 6 2の底部には排気管 9 1が接続されており、 この排 気管 9 1には排気装置 9 5が接続されている。 また、 処理容器 6 2の側 壁にはゲートバルブ (図示せず) が設けられており、 被処理体 Wが、隣 接するロードロック室(図示せず)との間で搬送されるようになっている。 下部電極であるサセプ夕 6 5には、 整合器 1 0 0を介して第 1の髙周 波電源 1 0 1と第 2の高周波電源 1 0 2が接続されている。 第 1、 第 2 の高周波電源 1 0 1 , 1 0 2の周波数はそれぞれ例えば 1 0 0 MH zと 3 . 2 MH zである。
このように構成される装置においては、 まず、 ゲートバルブ (図示せ ず) を開放して、 被処理体 Wを処理容器 6 2内に搬入し、 静電チャック 7 1上に配置する。 次いで、 ゲートバルブを閉じ、 排気装置 9 5によつ て処理容器 6 2内を減圧した後、 バルブ 8 8を開放し、 エッチングガス 供給源 9 0から所定の処理ガスを供給し処理容器 6 2内の圧力を所定の 値とする。
この状態で第 1、 第 2の高周波電源 1 0 1、 1 0 2から高周波電力を 供給して処理ガスをプラズマ化し、 被処理体 Wの所定の膜に対するブラ ズマ処理 (耐プラズマ性向上処理またはプラズマエッチング) を実施す る。 この場合に、 第 1、 第 2の高周波電源 1 0 1、 1 0 2から高周波電 力を供給するタイミングの前後に、 静電チャック Ί 1内の電極 7 2に直 流電圧を印加して、 被処理体 Wを静電チャック 7 1上に静電吸着させ、 この状態で所定のプラズマ処理を実施する。
次に、 本発明のプラズマ処理方法の実施形態について説明する。
(第 1の実施形態)
ここでは、 図 1に示すプラズマ処理装置 1を用いて、 図 3に示すよう な、 エッチング対象層である S i 0 2膜 1 2 1とこれを覆うマスク層で ある A r Fフォトレジストまたは F 2フォトレジストからなるフォトレ ジスト層 1 2 2とを有する被処理体 Wにプラズマ照射して、 フォトレジ スト層 1 2 2の耐プラズマ性を向上させる工程と、 この工程の後にフォ トレジスト層 1 2 2をマスクとしてエッチング対象層 1 2 1をプラズマ エッチングする工程とを実施する。
A r Fフォトレジストや F 2フォトレジストとしては、 脂環族含有ァ クリル樹脂、 シクロォレフイン樹脂、 シクロォレフイン—無水マレイン 酸樹脂、 メ夕クリル酸樹脂等を使用することができる。
まず、 ゲートバルブ 3 2を開放して、 被処理体 Wを処理容器 2内に搬 入し、 静電チャック 1 1上に配置する。 次いで、 ゲートバルブ 3 2を閉 じ、 排気装置 3 5によって処理容器 2内を減圧した後、 バルブ 2 8を開 放し、 処理ガス供給源 3 0から処理ガス、 例えば H 2を供給し、 処理容 器 2内の圧力を所定の値、 好ましくは 1 3 . 3 P a ( l O O m T o r r ) 以下、 例えば 6 . 7 P a ( 5 O mT o r r ) とする。 この状態で、 上部電極 2 1と下部電極であるサセプ夕 5に高周波電力を印加し、 処理 ガスをプラズマ化して被処理体 W中のフォトレジス層 1 2 2にプラズマ 照射する。 このとき、 上下電極に高周波電力を印加するタイミングの前 後に、 直流電源 1 3を静電チャック 1 1内の電極 12に印加して、 被処 理体 Wを静電チャック 1 1上に静電吸着させる。
H2のプラズマに代えて、 H2と He、 Ne、 A r、 K r、 Xeなど の不活性ガスとを含む処理ガスのプラズマや、 他の Hを有する物質のプ ラズマ、 Hを有する物質と他の物質、 例えば不活性ガスとを含む処理ガ スのプラズマを照射してもよい。 他の Hを有する物質としては例えば N H3を挙げることができる。 これらのガスの照射によって、 有機層であ るフォトレジスト層 1 22の耐プラズマ性が向上する。 詳細なメカニズ ムは必ずしも明確ではないが、 Hを有するプラズマが有機層であるフォ トレジスト層 1 22の架橋反応を促進したり、 C— 0結合や C—H結合 が C一 C結合に変わることで化学的結合が強化され、 耐プラズマ性を向 上させているものと考えられる。 Hを有する物質としては、 取扱いが容 易であることから上記 H2や NH3が好ましい。 NH3は Nを有する物質 でもあるが、 処理ガスとして他の Nを有する物質、 例えば N2を含んで いてもよい。 N2も取扱いが容易であるという利点がある。 処理ガス として Nを有する物質を用いることによつてもフォトレジス卜層 122 の耐プラズマ性が向上するから Hを有する物質を用いずに Nを有する物 質を用いてもよい。 この場合の耐プラズマが向上する詳細なメカニズム は必ずしも明確ではないが、 Nと Ar Fフォトレジスト中の Cとが結合 して、 A r Fフォトレジスト表面に CN系の保護膜ができ、 Ar Fフォ トレジストの耐プラズマ性が向上するものと考えられる。 処理ガスに N 2等の Nを有する物質が含まれている場合に、 さらに Hを有する物質が 含まれていることが好ましい。 Hの存在により Nと Cとの結合が促進さ れると考えられるからである。 Hを有する物質としては、 H2、 CHF 3、 CH2F2、 CH3Fの中から選択される 1以上を使用することがで きる。 以上のようにして所定の時間だけプラズマを照射した後、 処理ガスの 供給および高周波電力の印加を停止する。
この後、 処理容器 2内の圧力をエッチング工程に適した所定の値、 例 えば 2. 0 P a ( 1 5mT o r r ) とし、 処理ガス供給源 30からエツ チングガスを供給する。 エッチングガスとしてはフロロ力一ボンを含む ガス、 例えば C5F8を含むものが好ましい。 具体的な例としては C5F 8 + 02 + A rを挙げることができる。 エッチング対象部が S i〇2層で あり、 エッチングガスが C 5F 8を含むガスの場合には、 エッチング対 象部である S i〇2膜 12 1の有機層であるフォトレジスト層 1 22に 対する選択比 (エッチング対象部のエッチングレート Z有機層のエッチ ングレート) が高い。 C5F8の中でもより選択比の高い直鎖 C5F8が 好ましく、 その中でも特に 1, 1, 1, 4, 4, 5, 5, 5—ォクタフ ルオロー 2—ペンチン (以下 「2 _C5F8」 と記載する。) を用いた場 合に、 上記選択比は極めて大きくなる。 また、 エッチングガスとしては C4F 6を含むものも好適である。 C4F6を用いることにより、 エッチ ング工程で A r Fフォトレジスト上にポリマーが堆積されるため、 フォ トレジストの目減りがなく、 所望の開口形状を維持したままエッチング ホールを形成することができる。
このようにエッチングガスを流すと同時に、 上部電極 2 1と下部電極 であるサセプ夕 5に高周波電力を印加してエッチングガスをプラズマ化 して、 そのプラズマにより、 フォトレジスト層 122をマスクとして S i〇2膜 12 1をエッチングする。
エッチング中に、 所定の発光強度を終点検出器 (図示せず) によって 検出し、 これに基いてエッチングを終了する。
なお、 エッチング対象部は、 S i〇2膜に限るものではなく、 TEO S、 BP SG、 P S G、 SOG、 熱酸化膜、 HTO、 F S G、 有機系酸 化 S i膜、 CORAL (ノベラス社) 等の酸化膜 (酸素化合物) や低誘 電体有機絶縁膜等のエッチングに適用可能である。 この場合に、 エッチ ング対象部の材質によっては、 エッチングガスとして、 処理ガスに別の ガスを添加しただけのガスを使用することができる。 このように処理ガ スのプラズマを照射する工程の後に別のガスを添加するだけでエツチン グすることができれば、 プラズマ放電を維持したまま、 処理ガスのブラ ズマを照射する工程とエッチングする工程とを連続で行うことが可能で ある。 具体例としては、 処理ガスのプラズマを照射する工程で、 処理ガ スとして H2を用い、 その後、 エッチングガスとして H2と CF4と A r の混合ガスを用いて、 エッチング対象部として例えば有機酸化膜をエツ チングする工程を実施することが挙げられる。
また、 A r Fフォトレジストゃ F 2フォトレジストのような耐プラズ マ性の低いフォ卜レジスト材料に限らず、 これらの代わりに他の有機フ オトレジスト層でもよく、 さらには、 フォトレジストに限らず他の有機 層であってもよい。 プラズマ処理装置の構成も図 1のものに限るもので はない。
次に、 上記第 1の実施形態に係る方法の実施例について説明する。 ここでは、 プラズマを照射する工程での諸条件としては、 処理容器内 圧力を 6. 7 P a (5 OmTo r r) とし、 処理ガス H2の流量を 0. 05〜 0. 2 L /m i n (50〜200 s c cm) とし、 照射時間を 3 0秒とし、 上部電極には 60 MHzの周波数の高周波電力を 500〜 1 000Wのパワーで印加し、 下部電極には高周波電力を印加しなかった。 また、 エッチング工程での諸条件としては、 処理容器内圧力を 2. 0 P a ( 15mT o r r ) とし、 エッチングガス C5F8、 Ar、 02の流量 をそれぞれ 0. 0 1 5 LZm i n (1 5 s c cm)、 0. 38 L/m i n (380 s c cm)、 0. 01 9 L/m i n ( 1 9 s c c m) とし、 上部電極には 6 OMH zの周波数の高周波電力を 2 170 Wのパワーで 印加し、 下部電極には 2 MH zの周波数の高周波電力を 1 550Wのパ ヮ一で印加した。
このような実施例と、 プラズマを照射する工程を省略した比較例とで、 エッチング工程での S i 02膜の A r Fフォトレジストマスクに対する 選択比 (S i 02膜のエッチングレート ZA r Fフォトレジストマスク のエッチングレート) を比較した。 被処理体 Wの測定箇所 4点全てにつ いて、 実施例のようにプラズマ照射を行うことにより、 プラズマ照射を 行わない比較例に比べて上記選択比が上昇した。 上昇率は 6 1 9%だ つた。
(第 2の実施形態)
ここでは、 上記プラズマエッチング装置 1を用いて、 図 4 Aのような S i 02膜 1 3 1と、 この S i〇2膜 1 3 1を覆う反射防止膜 1 32と、 この反射防止膜 1 32を覆う A r Fフォトレジストまたは F 2フオトレ ジストからなるフォトレジスト層 133を有する被処理体 Wに対して、 フォトレジスト層 1 33のパターン開口を通して反射防止膜 132をェ ツチングするとともに、 フォトレジスト層 1 33の耐プラズマ性を向上 させる第 1エッチング工程 (図 4A) と、 この工程の後のフォトレジス ト層 1 33を通して S i〇2膜 1 3 1をプラズマエッチングする第 2ェ ツチング工程 (図 4B) を実施する。
まず、 被処理体 Wを処理容器 2内に搬入 ·配置し、 処理ガス供給源 3 0から第 1エッチングガスを兼ねた処理ガス、 例えば N 2と H 2を供給 するとともに、 処理容器 2内の圧力を所定の値、 例えば 107 P a (8 0 OmT o r r ) にする。 この際の処理容器内圧力は 1 07 1 60 P a (800 1200 mTo r r) が好ましい。 1 07 P aより低いと フォトレジスト層 1 33、 特にパターン開口の肩部もエッチングされて しまうからであり、 160 P aより大きいと開口部分のエッチングが進 行しないからである。 第 1エッチングガスを兼ねた処理ガスとしては、 Nを含むガス、 例えば N2、 NH3を用いることができ、 その他に Hを 含むガス、 例えば、 H2、 CHF3、 CH2F2、 CH3Fの中から選択 される 1以上を使用することができる。
次いで、 上下部電極に高周波電力を印加し、 第 1エッチングガスをプ ラズマ化して、 フォトレジスト層 133をマスクとして反射防止膜 13 2をエッチングする。 反射防止膜としては、 アモルファスカーボンや有 機系高分子材料使用することができる。 このエッチングは、 同時にフォ トレジスト層 133の耐プラズマ性を向上させる処理も兼ねている。 所 定の時間だけエッチングしたところで第 1エッチングを終了する。
このように処理ガスとエッチングガスを同じにすることで、 フオトレ ジスト層 133にプラズマを照射する工程と反射防止層 1 32をエッチ ングする工程との間のガス切り替えが不要となり短時間での処理ができ、 スループットの向上を図ることができる。 また、 反射防止層 132のェ ツチングの際に A r Fフォトレジストの耐プラズマ性向上処理ができる ので、 そのための余分な装置や空間が不要である。
次いで、 処理ガス (第 1エッチングガス) をエッチングガス (第 2ェ ツチングガス) に切り替えて、 第 1エッチングと同様に、 フォトレジス ト 1 33を通して S i 02膜 1 3 1をプラズマエッチングする第 2エツ チングを行う。 この際のエッチングガスとしては、 第 1の実施形態と同 様、 フロロカーボンを含むガス、 例えば C5F8を含むものが好ましい。 具体的な例としては(:5 8 +〇2 + (:0 +八 を挙げることができる。
C5F8の中でも、 直鎖 C5F8が好ましく、 特に 2— C5F8が好ましい。 エッチングガスに用いるフロロカーボンとしては C4F 6も好適である。 なお、 この第 2の実施形態においても、 エッチング対象部は、 S i O 2膜に限るものではなく、 TEOS、 B PS G、 P S G、 SOG、 熱酸 化膜、 HTO、 FS G、 有機系酸化 S i膜、 CORAL (ノベラス社) 等の酸化膜 (酸素化合物) や低誘電体有機絶縁膜等のエッチングに適用 可能である。 また、 A r Fフォトレジストや F 2フォトレジストのよう な耐プラズマ性の低いフォトレジスト材料に限らず、 他の有機フォトレ ジスト層でもよく、 さらには、 フォトレジストに限らず他の有機層であ つてもよい。 プラズマ処理装置の構成も図 1のものに限るものではない。 次に、 上記第 2の実施形態に係る方法の実施例について説明する。 ここでは、 第 1エッチングの諸条件としては、 処理容器内圧力を 1 0 7 P a (800mTo r r) とし、 処理ガス (第 1エッチングガス) N 2、 H2の流量をそれぞれ 0. 6 LZm i n ( 600 s c c m) とし、 上部電極には 60MHzの周波数の高周波電力を 1000 Wのパワーで 印加し、 下部電極には 2MHzの周波数の高周波電源を 300Wのパヮ 一で印加した。 第 2エッチングの諸条件としては、 エッチングガスが 1, 2, 3, 3, 4, 4, 5, 5一ォク夕フルオローシクロー 1—ペンテン (以下 「(:— C5F 8」 と記載する。) を含むガスの場合 (実施例 2— 1) には、 処理容器内圧力を 2. 0 P a (1 5mTo r r) とし、 エツ チングガス c一 C5F8、 Ar、 02の流量をそれぞれ 0. 015 LZm i n (1 5 s c cm)、 0. 38 L/m i n (380 s c cm)、 0. 0 19 L/m i n ( 1 9 s c c m) とし、 上部電極には周波数 60 MH z、 パワー 2 1 70Wで、 下部電極には周波数 2MH z、 パヮ一 1550W で高周波電力を印加し、 エッチングガスが 2— C 5F8を含むガスの場 合 (実施例 2— 2) には、 処理容器内圧力を 2. 7 P a (2 OmTo r r ) とし、 エッチングガス 2— C5F8、 A r、 02、 COの流量をそれ ぞれ 0. 027 LZm i n (27 s c cm)、 0. 5 L/m i n (50 0 s c cm)、 0. 027 L/m i n (27 s c cm)、 0. 05 L/m i n (50 s c cm) とし、 上部電極には周波数 60 MH z、 パワー 1 600Wで、 下部電極には周波数 2MH z、 パワー 2000 Wで高周波 電力を印加した。
これに対して、 処理ガスが A r Fフォトレジストの耐プラズマ性の向 上作用がないと思われる CF4で第 1エッチングを行った後、 実施例 2 一 1と同様にして c一 C5F8を含むガスで第 2エッチングを行ったも のを比較例 2— 1とし、 実施例 2— 2と同様にして 2— C5F8を含む ガスで第 2エッチングを行ったものを比較例 2— 2とした。 結果を表 1 に示す。
表 1
Figure imgf000026_0001
表 1に示すように、 反射防止膜をエッチングする第 1エッチング工程 で、 N2と H2との混合ガスのプラズマを用いたことにより、 A r Fフ オトレジスト膜の耐プラズマ性が向上し、 その後の S i〇2膜をエッチ ングする第 2エッチング工程で、 S i 02膜の A r Fフォトレジスト膜 に対する選択比 (S i 02のエッチングレート/ A r Fフォトレジスト のエッチングレート) が高くなることが確認された。
(第 3の実施形態)
ここでは、 図 2に示すプラズマエッチング装置 61を用いて、 図 5 A のような、 エッチング対象層である S i 02膜 141と、 この S i〇2 膜 141を覆う反射防止膜 142と、 この反射防止膜 142を覆う A r Fフォ卜レジス卜または F 2フォトレジス卜からなるフォ卜レジスト層 1 4 3を有する被処理体 Wに対して、 プラズマによりフォトレジスト層 1 4 3の耐プラズマ性を向上させるとともにフォトレジスト層 1 4 3の 開口パターン 1 4 3 aを通して反射防止膜 1 4 2をエッチングする工程 (図 5 A) と、 この工程の後のフォトレジスト層 1 4 3を通して S i〇 2膜 1 4 1をプラズマエッチングする工程 (図 5 B ) を実施する。
この実施形態においても A r Fフォトレジストおよび F 2フォトレジ ストとしては、 脂環族含有アクリル樹脂、 シクロォレフイン樹脂、 シク 口才レフイン—無水マレイン酸樹脂を使用することができる。 反射防止 層としては、 有機系高分子材料やアモルファス力一ボンを使用すること ができる。
まず、 図示しないゲートバルブを開放して、被処理体 Wを処理容器 6 2内に搬入し、 静電チャック 7 1上に配置する。 次いで、 ゲ一トバルブ を閉じ、 排気装置 9 5によって処理容器 6 2内を減圧した後、バルブ 8 8を開放し、 処理ガス供給源 9 0から処理ガス、 例えば H 2を供給し、 処理容器 6 2内の圧力を所定の値とする。 処理ガスは H 2のみであって もよいし、 A r等の希釈ガスを例えば H 2と同流量程度添加してもよい。 処理ガスとして H 2の代わりに他の Hを有する物質を用いてもよい。
この状態で第 1、 第 2の高周波電源 1 0 1, 1 0 2から高周波電力を 供給し、 処理ガスをプラズマ化させて被処理体 Wに作用させる。 このと き、 高周波電力を供給するタイミングの前後に、 直流電源 7 3を静電チ ャック 7 1内の電極—7 2に印加して、被処理体 Wを静電チヤック 7 1上 に静電吸着させる。
以上のようにして、 所定時間プラズマ処理を行って、 フォトレジスト 層 1 4 3の耐プラズマ性を向上させるとともに反射防止層 1 4 2をエツ チングするが、 この際の処理容器 6 2内の圧力は 1 3 . 3 P a ( 1 0 0 m T o r r ) 以下とすることが好ましい。 このように低圧にして Hを含 む処理ガスのプラズマをマスク層であるフォトレジスト層 1 4 3に照射 するとその表面が改質されてマスク層の耐プラズマ性が向上する。 フォ トレジスト層 1 4 3の耐プラズマ性を向上させることにより、 この後、 フォトレジスト層 1 4 3の開口パターン 1 4 3 aを介してエッチング対 象層をプラズマエッチングエッチングする際にエッチング対象層のマス ク層に対する選択比、 すなわち、 エッチング対象層のエッチングレート /マスク層のエッチングレートを高くすることができる。 また、 このェ ツチング工程でプラズマによってマスク層であるフォトレジスト層 1 4 3に筋や溝が入ることを防止することができる。 さらに、 マスク層であ るフォトレジスト層 1 4 3の開口部が拡大するのを抑えることができる。 マスク層であるフォトレジスト層 1 4 3の耐プラズマ性が向上する詳細 なメカニズムは必ずしも明確ではないが、フォトレジスト層 1 4 3の表 層に Hラジカルが作用してフォトレジスト層内から C H 4等のガスを引 き抜くことで、 マスク層内の炭素間同士の化学結合がより強力なものに 変化するためと考えられる。 なお、 処理ガスには N を有する物質を含 まない方が好ましい。処理ガス中に Nを有する物質が含まれると、 マス ク層の側壁表面を Cと Nを主成分とする保護膜が覆ってしまい、 耐プラ ズマ性を向上させる作用があると考えられている Hラジカルが側壁表面 から内部に浸透できなくなってしまい、 マスク層の側壁表面の耐プラズ マ性向上が厚い幅に渡ってできなくなるからである。 処理におけるフォ トレジスト層 1 4 3へのダメージをより緩和する観点から、 処理圧力は 8〜3 O mT o r 1-であることが好ましい。
また、 第 1の高周波電源 1 0 1からサセプ夕 6 5にプラズマ形成用の 高周波電力を供給していることによつてもマスク層であるフォトレジス ト層 1 4 3の耐プラズマ性が向上する。 この際の周波数は 1 0 0 MH z 以上が好ましい。 また、 サセプ夕 6 5に第 2の高周波電源 1 0 2から上 記のものとは別の高周波電力、 好ましくは周波数が 3 MH z以上のもの を供給することでプラズマ中の活性種、 特にイオンを制御することがで きる。 この別の高周波電力は 1 0 0 W以下であることが好ましい。 低 圧'低電力 (低バイアス)の雰囲気下で処理することでマスク層であるフ ォトレジスト層 1 4 3へのダメージを最小限にすることができるからで ある。また、低圧、 低電力 (低バイアス)の雰囲気下ではフォトレジスト層 1 4 3の側壁からも内部まで Hラジカルが浸透するため、 フォトレジス ト層 1 4 3の側壁表面から内部にかけての厚い部分で耐プラズマ性の向 上を図ることができる。 フォトレジスト層 1 4 3は有機材料であり炭素 を含有するのでこのような表面改質作用が著しいからである。 また、 フ ォトレジスト層 1 4 3を構成する A r Fフォトレジストや F 2フォトレ ジストについては、 耐プラズマ性向上処理の前後でかなり耐プラズマ性 が変化するため、 微細加工の際にこのような処理を適用すると効果が絶 大である。 また、 このような耐プラズマ性向上処理と同時に、 エツチン グ対象層のエッチングのために必要な反射防止層 1 4 2をエッチングす るので、 マスク層であるフォトレジスト層 1 4 3をほとんどエッチング することなく反射防止層 1 4 2をエッチングすることができる。
このとき、 上述のようにサセプ夕 6 5に 1 0 0 MH z以上の周波数の 高周波電力を供給することで処理容器 6 2内の H 2が解離し様々な活性 種となり、 その活性種の中で主に Hラジカルがマスク層であるフォトレ ジスト層 1 4 3の耐プラズマ性向上に寄与し、主に Hラジカルとイオン が反射防止層 1 4 2のエッチングに寄与する。 これらの活性種の寄与バ ランスが優れているためマスク層であるフォトレジスト層 1 4 3の耐プ ラズマ性を向上させながら同時に反射防止層 1 4 2を有効にエッチング することができる。 さらに、 サセプ夕 6 5に第 2の高周波電源 1 0 2か ら 3 MH z以上の周波数の高周波電源から高周波電力を供給することで この活性種中のイオンの動きを制御することができる。
次に、 上記処理ガスをエッチング対象層である S i〇2膜 141をェ ツチングするためのエッチングガス、 例えば C4F6と 02と A rの混合 ガスのようなフロロ力一ボンを含むガスを供給し、 第 1および第 2の高 周波電源からサセプ夕 65に高周波電力を印加して上記処理ガスをブラ ズマ化し、 そのプラズマによりフォトレジスト層 143をマスクとして S i〇2膜 141をエッチングする。
エッチング中に、 所定の発光強度を終点検出器 (図示せず) によって 検出し、 これに基いてエッチングを終了する。
なお、 本実施形態においても、 エッチング対象部は、 S i 02膜に限 るものではなく、 TE〇S、 BP SG、 PSG、 S〇G、 熱酸化膜、 H T〇、 FSG、 有機系酸化 S i膜、 CORAL (ノベラス社) 等の酸化 膜 (酸素化合物) や低誘電体有機絶縁膜等のエッチングに適用可能であ る。 また、 A r Fフォトレジストや F 2フォトレジストのような耐プラ ズマ性の低いフォ卜レジスト材料に限らず、 他の有機フォトレジス卜層 でもよく、 さらには、 フォトレジストに限らず他のマスク層であっても よい。 プラズマ処理装置の構成も図 2のものに限るものではない。
次に、 本実施形態に基づく実施例について説明する。
ここでは、 まず、 チャンバ一内圧力を 1. 0 7 P a(8. OmTo r r)、 4. 00 P a(3 OmT o r r)、 1 3. 3 P a(l 0 OmT o r r) の 3通りとし、 処理ガス供給源から処理ガスとして H2を供給した。 第 1および第 2の高周波電源の周波数はそれぞれ 1 00 MHz、 3. 2M Hzとし、 その電力を 2400W、 500Wとした。 また、 第 2の高周 波電源から電力を供給しない場合 0W)についても評価した。 評価は マスク層の断面状態を顕微鏡( S E M)で観測して行つた。 その結果、 圧力が 1. 0 7 P a(8. 0 mT o r r)、 4. 0 0 P a (3 OmT o r r)のときはマスク層への筋入り ·溝入りや開口部の拡大 はほとんどなかった。 圧力が 13. 3 P a(l 0 OmT o r r)のときに はマスク層への筋入り ·溝入りや開口部の拡大は余りなかった。 圧力が 高くなると筋入り ·溝入りが起きやすくなつていた。
また、 第 2の高周波電源から供給される電力については、 0Wのとき が 500Wのときに比べてマスク層への筋入り ·溝入りや開口部の拡大 が少なかった。 これらの結果等から考慮すれば、 第 2の高周波電源から 供給される電力は 1 00W以下が好ましい。
さらに、 圧力を 1. 0 7 P a(8. 0 mT o r r )に固定して、 H2の 流量を 50mL/m i n (s c cm)、 l O OmL/m i n (s c cm)、 1 20 mL /Ίτι i n (s c cm)、 200 mL/m i n ( s c c m) と 変化させたところ、 流量が少ないときの方がマスク層への筋入り '溝入 りや開口部の拡大が少なかつた。
この後のエッチング対象層である S i 02膜をエッチングする工程で は、 処理容器内にエッチングガスとして C4F 6と〇2と A rの混合ガス を用い、 処理容器内の圧力を 6. 66 P a(5 OmT o r r)とし、サセ プタ 65に供給する高周波電力は第 1の高周波電源からは 600W、第 2の高周波電源からは 1800Wとした。 第 1の高周波電源からの高周 波電力の供給によりエッチングガスはプラズマ化し、 エッチング対象層 である S i〇2膜がエッチングされた。 終点検出法等によってエツチン グを終了した後、 同様に SEM観察を行った結果、 エッチング対象層の プラズマエッチング終了後においても、 マスク層の大幅減少、マスク層 への筋入り ·溝入りやマスク層の開口部の拡大は余りなかった。 これに より、 本発明によるマスク層の耐プラズマ性の向上効果が、エッチング f後にも持続されていることが分かった。 (第 4の実施形態)
ここでは、 上記図 1に示すプラズマ処理装置 1を用いて、 図 6 Aのよ うな、 エッチング対象層である S i〇2層 1 5 1と、 これを覆う反射防 止層 1 5 2と、 反射防止層 1 5 2を覆う開口パターン 1 5 3 aが形成さ れたマスク層である A r Fフォトレジストまたは F 2フォトレジストか らなるフォトレジスト層 1 5 3を有する被処理体 Wに対して、 フオトレ ジスト層 1 5 3の開ロパタ一ンを介して反射防止膜 1 5 2をエッチング する工程と、 S i 0 2層 1 5 1をエッチングする工程とを実施する。
この実施形態においても A r Fフォトレジストおよび F 2フォトレジ ストとしては、 脂環族含有アクリル樹脂、 シクロォレフイン樹脂、 シク 口才レフイン一無水マレイン酸樹脂を使用することができる。 反射防止 層としては、 有機系高分子材料やアモルファス力一ボンを使用すること ができる。
本実施形態においては、 このようなエッチング工程を、 フォトレジス 卜層 1 5 3の開口パターン 1 5 3 aを通して反射防止膜 1 5 2をプラズ マエッチングする第 1エッチング工程と、 フォトレジスト層 1 5 3の開 口パターンを通して S i〇2層 1 5 1を途中までエッチングする第 2ェ ツチング工程と、 第 2エッチング工程の後に S i 0 2層 1 5 1をさらに エッチングする第 3エツチング工程の 3段階で行う。 これらのうち第 2 エッチング工程は S i〇2層 1 5 1の初期エッチング工程として行われ、 第 3エッチング工程は S i〇2層 1 5 1の主エッチング工程として行わ れる。
まず、 ゲートパルプ 3 2を開放して、 被処理体 Wを処理容器 2内に搬 入し、 静電チャック 1 1上に配置する。 次いで、 ゲートバルブ 3 2を閉 じ、 排気装置 3 5によって処理容器 2内を減圧した後、 バルブ 2 8を開 放し、 エッチングガス供給源 3 0から H 2を供給し、 処理容器 2内の圧 力を所定の値とする。 この状態で第 1、 第 2の高周波電源 4 0、 5 0か ら高周波電力を供給し、 H 2をプラズマ化して被処理体 Wに作用させフ ォトレジスト層 1 5 3の開ロパタ一ンを通して反射防止層 1 5 2をエツ チングする (第 1のエッチング;図 6 A)。 一方、 第 1、 第 2の高周波 電源 4 0、 5 0から高周波電力を供給するタイミングの前後に、 直流電 源 1 3を静電チャック 1 1内の電極 1 2に印加して、 被処理体 Wを静電 チャック 1 1上に静電吸着させる。 エッチング中に、 所定の発行強度を 終点検出器 (図示せず) によって検出し、 これに基づいて高周波電力の 供給を停止し第 1エッチング工程を終了する。
次いで、 同一処理容器内または別の処理容器内に第 1エッチング工程 と同様にして C F 4と H 2の混合ガスを供給し、 フォトレジスト層 1 5 3の開ロパタ一ンを通して S i〇2層 1 5 1を途中までエッチングする (第 2エッチング工程;図 6 B )。 所定のエッチング時間、 例えば 6 0 秒が経過したらこの第 2エッチング工程を終了する。 その後、 同一処理 容器内または別の処理容器内に第 2エッチング工程と同様にして第 2ェ ッチング工程とは異なるガス、 例えば直鎖 C 5 F 8と〇 2と A rの混合ガ スを供給し、 S i 0 2層 1 5 1をさらにエッチングする (第 3エツチン グ工程; 図 6 C )。 終点検出に基づいてこの第 3エッチング工程を終了 する。
このように、 C F 4と H 2のプラズマを用いた S i 0 2層 1 5 1の第 2 エッチング工程によって、 マスク層である A r Fフォトレジスト層 1 5 3の表面に、 特に S i 0 2層 1 5 1との境界付近により多く保護膜が形 成され、 その後の第 3エッチング工程においてフォトレジスト層 1 5 3 の形状の変形を抑制することができる。 また、 第 1エッチング工程にお いて、 反射防止層 1 5 2を H 2のプラズマを用いてエッチングすること により、 第 3エッチング工程におけるフォトレジスト層 1 5 3の形状の 変形をより有効に抑制することができる。 これは、 H 2のプラズマによ りマスク層であるフォトレジスト層 1 5 3の表面近傍から酸素原子が脱 離して構造的により強固な炭素間結合が形成されるためと考えられる。
このようなプラズマによるフォトレジスト層 1 5 3の形状の変形を抑 制する効果は、 その材料が特にプラズマによって変形しやすいメタクリ ル酸樹脂 (構造中にメタクリル酸が取り込まれている樹脂をいう) の場 合に顕著なものとなるが、 アクリル酸樹脂 (構造中にアクリル酸が取り 込まれている樹脂をいう) 等、 他の樹脂であっても同様の効果を得るこ とができる。 ただし、 フォトレジスト層の材料がアクリル酸樹脂の場合 には、 微細加工可能なマスク材の中でプラズマに対する変形耐性が比較 的大きいので、 反射防止層をエッチングする第 1エッチングの際に必ず しも H 2ガスを用いる必要はなく、 H 2よりもエッチングレートが高く、 マスク層へのダメージがフロロカーボンの中でも最も少ない C F 4のプ ラズマを用いて高速で反射防止層 1 5 2をエッチングすることができる。 また、 第 3エッチング工程のエッチングガスとして、 直鎖 C 5 F 8と 〇2とを含むガスを用いることにより、 エッチング対象層である S i〇 2層 1 5 1をより異方的に、 より速くエッチングすることができる。 な お、 第 3エッチング工程のエッチングガスは、 これに限るものではない が、 第 2エツチング工程で用いられる C F 4と H 2の混合ガスとは別の ガスであることが好ましい。 これは、 第 2エッチング工程でマスク層の 形状の変形を抑制する構造を形成した後、 第 3エッチング工程のエッチ ングガスに切り替えることにより、 例えば、 より異方的エッチングやよ り高速のエッチング等所望の機能を持たせることができるからである。
S i 0 2層 1 5 1をより異方的に、 より速くエッチングする観点からは、 エッチングガスとしてフロロカーボンを含むガスを好適に用いることが できるが、 上述した直鎖 C ε F 8と 0 2とを含むガスが特に好ましい。 以上は、 反射防止層 1 52が存在する場合のエッチング工程について 説明したが、 反射防止層が存在しない場合には、 上記第 1のエッチング 工程を省略して、 最初に、 C F4と H2をプラズマ化し、 A r Fフォト レジスト層の開口パターンを通してエッチング対象層である S i 02層 を途中までエッチングする初期エッチング工程を実施し、 この初期エツ チング工程の後、 好ましくはフロロカーボンを含むエッチングガス、 よ り好ましくは上述した直鎖 C 5 F 8と〇 2とを含むガスをプラズマ化し、 エッチング対象層である S i〇2層の残部をエッチングする主エツチン グ工程を実施すればよい。 この場合にもマスク層である A r Fフォトレ ジスト層表面に、 特にエッチング対象層である S i〇2層との境界付近 により多く保護膜が形成され、 その後の主エッチング工程における A r Fフォトレジスト層の形状の変形を抑制することができる。
なお、 本実施形態においても、 エッチング対象部は、 S i 02膜に限 るものではなく、 TEOS、 BP SG、 P SG、 SOG、 熱酸化膜、 H TO、 FSG、 有機系酸化 S i膜、 CORAL (ノベラス社) 等の酸化 膜 (酸素化合物) や低誘電体有機絶縁膜等のエッチングに適用可能であ る。 また、 A r Fフォトレジストゃ F 2フォトレジス卜のような耐プラ ズマ性の低いフォトレジスト材料に限らず、 他の有機フォトレジスト層 でもよく、 さらには、 フォトレジストに限らず他のマスク層であっても よい。 プラズマ処理装置の構成も図 1のものに限るものではない。 次に、 本実施形態に基づく実施例について説明する。
上記図 6 Aに示す被処理体の反射防止層 1 52およびエッチング対象 層である S i〇2層 1 5 1について、 図 1に示す装置を用いて表 2に示 す条件の No. 1〜 6のエッチングを行った。 なお、 いずれのエツチン グにおいても、 第 1の高周波電源の周波数を 60 MHzとし、 第 2の高 周波電源の周波数を 2 MHzとした。 具体的には、 No. :!〜 3はフォトレジスト層 1 53としてアクリル 酸樹脂の A r Fフォトレジストを用いたものであり、 いずれも第 3エツ チング工程は C4F 6と 02と八 rとを用いており、 これらの中で N o. 1は第 1エッチング工程で C F4を用い、 第 2エッチング工程を行わな かったもの、 No. 2は第 1エッチング工程で CF4を用い、 第 2エツ チング工程で C F4と H2とを用いたもの、 No. 3は第 1エッチング 工程で H2を用い、 第 2エッチング工程で C F4と H2とを用いたもので ある。 また、 No. 4〜6はフォトレジスト層 153としてメタクリル 酸樹脂の A r Fフォトレジストを用いたものであり、 いずれも第 3エツ チング工程は直鎖 C5F8と〇2と A rとを用いており、 これらの中で N 0. 4は第 1エッチング工程で CF4を用い、 第 2エッチング工程を行 わなかったもの、 No. 5は第 1エッチング工程で C F4を用い、 第 2 エッチング工程で C F4と H2とを用いたもの、 No. 6は第 1エッチ ング工程で H2を用い、 第 2エッチング工程で C F4と H2とを用いたも のである。
全工程終了後、 各条件のサンプルについてフォトレジスト層 1 53の 形状の変形を調査した。 その結果、 フォトレジスト層 153としてァク リル酸樹脂を用いた No. 1〜3のうち、 第 2エッチング工程を行わな かった No. 1はフォトレジスト層の変形の指標である縦筋が存在して いたが、 第 2エッチング工程を行った No. 2、 3では、 第 1エツチン グ工程で使用したガスにかかわらず、 縦筋が存在していなかった。 一方、 A r Fフォトレジスト層 1 53としてァクリル酸樹脂より耐プラズマ性 が低いメタクリル酸樹脂を用いた No. 4〜6のうち、 第 2エッチング 工程を行わなかった No. 4は縦筋が存在していた。 また、 第 1エッチ ング工程で CF4を用い第 2エッチング工程を行った No. 5は縦筋が 少なくなり、 これにより第 2エッチング工程により縦筋が抑制されるこ とが確認された。 第 2エッチング工程を行い、 かつ第 1エッチング工程 のガスを H 2にした N o . 6では縦筋が存在していなかった。 つまり、 フォトレジスト層 1 5 3がプラズマに対する耐性の低い材料で構成され ている場合、 第 2エッチング工程に加えて、 第 1エッチング工程で H 2 により反射防止層 1 5 2をエッチングすることによりフォトレジスト層 の変形の指標である縦筋が発生しなくなることが確認された。
表 2
No. 1 2 3 4 5 6
ArFフォトレジス卜 アクリル酸樹脂 メタクリル酸; f贿
圧力(Pa) 6.7 2.0 6.7 2.0
(カツコ内数値は mTorr) (50) (15) (50) (15)
第 1エッチング工程 第 1の高周波電源からの電力(W) 1000 2200 1000 2200
第 2の高周波電源からの電力(W) 100 100 100 100
ガスと流 M (mL/min) CF4:100 H2:100 CF4:100 H2:100
圧力(Pa) 2.7 2.7
(カツコ内数値は mTorr) (20) (20)
第 1の高固波雷ミ!?カゝらの雷力(W) 1800 1800 C 第 2エッチング工程 なし なし
第 2の高周波電源からの電力(W) 1800 1800
CF4:120 CF4:120
カスと ¾t (mL/min)
H2:180 H2:180
圧力(Pa) 6.7 2.7
(カツコ内数値は mTorr) (50) (20)
第 1の高周波電源からの電力(W) 1800 1800
第 3エッチング工程 第 2の高周波電源からの電力(W) 1150 1800
C4F6:25 直鎖 C5F8:27
カスと; Jica: (mL/ min) 02:26 O2:30
Ar:700 Ar:500
縦筋 有 狭 有 少 /"、
(第 5の実施形態)
ここでは、 図 1に示すプラズマ処理装置 1を用いて、 図 7 Aに 示すような、 S i等の下地層 1 6 0上に形成された S i 〇2層等の エッチング対象層 1 6 1 (厚さ例 : 1 5 0 0 n m) と、 このエツ チング対象層 1 6 1を覆う有機反射防止層 1 6 2 (厚さ例 : 6 0 n m) と、 この有機反射防止層 1 6 2を覆う開口パターン 1 6 3 a (直径例 : 0. 1 8 m) が形成された A r Fフォ トレジスト または F 2フォ トレジストからなるフォトレジスト層 1 6 3 とを 有する被処理体 Wに対して、 フォ トレジスト層 1 6 3の開ロパタ ーン 1 6 3 aを通して、 有機反射防止層 1 6 2をプラズマエッチ ングする工程と、 次いでエッチング対象層 1 6 1をプラズマエツ チングして開口パターン 1 6 1 aを形成する工程を実施する。 以下、 図 7 A〜 7 Cおよび図 8のフローチャートを参照して説 明する。
フォ トレジスト層 1 6 3を構成する A r Fフォ トレジストおよ び F 2フォ トレジストとしては、 脂環族含有アクリル樹脂、 シク 口才レフイン樹脂、 シクロォレフイン—無水マレイン酸樹脂、 メ タクリル酸榭脂等を使用することができる。
有機反射防止層 1 6 2としては、 有機系高分子材料を適用する ことができる。
また、 本実施形態では、 プラズマ処理装置 1の上部電極板 2 4 は、 少なくとも表面が単結晶 S i、 S i C等の S i を含む材料で 構成されている。
まず、 ゲートバルブ 3 2を開放して、 被処理体 Wを処理容器 2 内に搬入し (S TE P 1 )、 静電チャック 1 1上に配置する。 次い で、 ゲートバルブ 3 2を閉じ、 排気装置 3 5によって処理容器 2 内を減圧した後、 バルブ 2 8を開放し、 処理ガス供給源 3 0から H2ガスを供給し (S TE P 2 )、 処理容器 2内の圧力を所定の値 とする。
この状態で第 1の高周波電源 4 0、 第 2の高周波電源 5 0から 高周波電力を供給して H2ガスをプラズマ化し、 フォトレジスト層 1 6 3の開口パターンを通して有機反射防止層 1 6 2 をエツチン グする (S T E P 3 ) (図 7 A)。 一方、 第 1の高周波電源 4 0、 第 2の高周波電源 5 0から高周波電力を供給するタイミングの前 後に、 静電チャック 1 1内の電極 1 2に直流電圧を印加して、 被 処理体 Wを静電チヤック 1 1上に静電吸着させる。 所定の時間だ けエッチングしたら高周波電力やエッチングガスの供給を停止し て有機反射防止層 1 6 2のエッチングを終了する (図 7 B)。 ブラ ズマ中の特定の物質の発光強度を終点検出器 (図示せず) によつ て検出し、 これに基づいてエッチング工程を終了してもよい。 本実施形態の場合、 H2のプラズマによる有機反射防止層 1 6 2 のエッチング過程において、 少なく とも表面が S iからなる上部 電極板 2 4から供給される S i と H2プラズマがフォトレジスト層 1 6 3の表面に作用することにより、 フォ トレジスト層 1 6 3の 表面には、 S i _〇や S i — C等を含む薄い保護層 1 6 3 bが形 成される。
すなわち、 H2のプラズマによる有機反射防 層 1 6 2のエッチ ングの過程で、 フォトレジスト層 1 6 3の表面の Cまたは Hとの 反応が起こり、 その結果として、 反応性の高い Cや〇がフォ トレ ジスト層 1 6 3の表面に多数存在する状態となり、 これらの高反 応性の Cや Oが上部電極板 2 4から供給された S i と反応し、 S i 一 Cあるいは S i — O等の物質を含む薄い保護層 1 6 3 bを形 成すると考えられる。
このように、 フォ トレジスト層 1 6 3の開ロパタ一ン 1 6 3 a を通して有機反射防止層 1 6 2をプラズマエッチングする際に、 フォ トレジスト層 1 6 3の表面に薄い保護層 1 6 3 bが形成され、 別の余分な工程を必要とすることなく、 フォ トレジスト層 1 6 3 の耐プラズマ性を向上させることができる。 したがって、 有機反 射防止層 1 6 2をエッチングする際に表面荒れゃストライエーシ ョンが入ることなく、 フォ トレジスト層 1 6 3の耐プラズマ性を 高く維持することができる。
次いで、 同一処理容器内または別の処理容器内で、 エッチング ガスとして例えば C 5 F 8と〇 2と A rを供給し ( S T E P 4 )、 有 機反射防止層 1 6 2のエッチングと同様な手順でフォ トレジスト 層 1 6 3の開ロパタ一ン 1 6 3 aを通してエッチング対象層 1 6 1をプラズマエッチングする (S T E P 5 )。 これにより、 エッチ ング対象層 1 6 1に、 たとえば高ァスぺク ト比の開口パターン 1 6 1 aを形成する (図 7 C)。 そして、 エッチング対象層 1 6 1の エッチング完了後、 被処理体 Wを、 ゲートバルブ 3 2を通じて処 理容器 2の外部に取り出す (S TE P 6)。
このエッチング対象層 1 6 1のエッチングに際して、 本実施形 態の場合には、 フォ トレジスト層 1 6 3の表面には保護層 1 6 3 bが形成されていることによって高い耐プラズマ性の状態にある ので、 エッチング対象層 1 6 1のプラズマエッチングにおいても フォ トレジスト層 1 6 3の耐プラズマ性や、 エッチング対象層 1 6 1のフォ トレジス ト層 1 6 3に対する選択比が高く維持される。 このため、 フォ トレジスト層 1 6 3の表面荒れや縦筋入りを生じ させず、 エッチング対象層 1 6 1を高いエッチングレートの条件 にてプラズマエッチングすることができる。 この結果、 別の余分 な工程を必要としないことと相まって、 プラズマエッチング工程 でのスループッ トが向上する。 また、 フォ トレジスト層 1 6 3の 開口パターン 1 6 3 における縦筋入りが発生しないので、 フォ トレジス卜層 1 6 3をマスクとしてエツチング対象層 1 6 1に形 成される開口パターン 1 6 1 aの精度も向上する。
上記 S T E P 2において、 フォ トレジスト層 1 6 3の耐プラズ マ性の向上の観点からは、 112の代ゎりに116、 N2を用いること ができる。 ただし、 H e、 N2を用いる場合、 有機反射防止層 1 6 2はほとんどエッチングされない。 なお、 有機反射防止層 1 6 2 はなくてもよく、 このときは、 H2、 H e、 N2の少なくとも 1種 のプラズマ処理によって、 専らフォ トレジスト層 1 6 3の耐プラ ズマ性の向上処理を行うことができる。
次に、 図 9 A〜 9 Cおよぴ図 1 0のフローチャートを参照して、 本実施形態の変形例について説明する。
この変形例では、 有機反射防止層 1 6 2を C F4ガスのプラズマ でエッチングした後、 エツチング対象層 1 6 1のエッチングに先 立って、 H2ガスによるプラズマ処理にてフォトレジスト層 1 6 3 の表面に保護層 1 6 3 bを形成する例を示す。
すなわち、 まず、 ゲートバルブ 3 2を開放して、 被処理体 Wを 処理容器 2内に搬入し (S TE P 1 1 )、 静電チャック 1 1上に配 置する。 次いで、 ゲートバルブ 3 2を閉じ、 排気装置 3 5によつ て処理容器 2内を減圧した後、 バルブ 2 8を開放し、 処理ガス供 給源 3 0から C F 4ガスを供給し (S TE P 1 2 )、 処理容器 2内 の圧力を所定の値とする。
この状態で第 1の高周波電源 4 0、 第 2の高周波電源 5 0から 高周波電力を供給して C F4ガスをプラズマ化し、 フォ トレジスト 層 1 6 3の開口パターンを通して有機反射防止層 1 6 2をエッチ ングする (S TE P 1 3) (図 9 A)。
一方、 第 1の高周波電源 4 0、 第 2の高周波電源 5 0から高周 波電力を供給するタイミングの前後に、 静電チャック 1 1内の電 極 1 2に直流電圧を印加して、 被処理体 Wを静電チヤック 1 1上 に静電吸着させる。 所定の時間だけエッチングしたら高周波電力 やエッチングガスの供給を停止して有機反射防止層 1 6 2のエツ チングを終了する。 プラズマ中の特定の物質の発光強度を終点検 出器 (図示せず) によって検出し、 これに基づいてエッチングェ 程を終了してもよい。
次に、 処理容器 2に供給するガスを H2ガスに切り換えて (S T E P 1 4)、 当該 H2ガスをプラズマ化し、 H2プラズマと上部電 極板 2 4から供給される S i とをフォトレジスト層 1 6 3の表面 に所定時間だけ作用させて、 フォ トレジス ト層 1 6 3の表面に、 S i 一 Oや S i — C等を含む薄い保護層 1 6 3 bを形成する (S TE P 1 5) (図 9 B)。
すなわち、 この変形例の場合、 フォ トレジスト層 1 6 3のブラ ズマ処理の過程で、 フォ トレジスト層 1 6 3の表面の Cまたは H と反応が起こり、 その結果として、 反応性が高い Cや〇がフォト レジスト層 1 6 3の表面に多数存在する状態となり、 高反応性の Cや Oが上部電極板 2 4から供給される S i と反応し、 S i — O や S i — Cとなって薄い保護層 1 6 3 bが形成されると考えられ る。 この S i —〇や S i — C等を含む薄い保護層 1 6 3 bにより、 フォトレジスト層: 1 6 3の耐プラズマ性が向上する。
次いで、 同一処理容器内または別の処理容器内で、 エッチング ガスとして例えば C 5 F 8と A rと O 2を使用して ( S T E P 1 6 ), 有機反射防止層 1 6 2のエッチングと同様な手順でフォトレジス ト層 1 6 3の開口パターン 1 6 3 aを通してエッチング対象層 1 6 1をプラズマエッチングする (ステップ 1 '7)。 これにより、 た とえば高アスペク ト比の開口パターン 1 6 1 aを形成する (図 9 C)。 そして、 エッチング対象層 1 6 1のエッチング完了後、 被処 理体 Wを、 ゲートバルブ 3 2を通じて処理容器 2の外部に取り出 す (S TE P 1 8 )。
このエッチング対象層 1 6 1の開口パターン 1 6 1 aのエッチ ングに際して、 本変形例の場合には、 上述のように、 フォトレジ スト層 1 6 3の表面は保護層 1 6 3 bが形成されることによって 高い耐プラズマ性を持った状態にあるので、 フォ トレジスト層 1 6 3の耐プラズマ性やエッチングの対マスク選択比が高く維持さ れる。 しかもフォ トレジスト層 1 6 3に表面荒れや縦筋入りを生 じることなく、 高いエッチングレートの条件にてプラズマエッチ ングによる開口パターン 1 6 1 aの形成を行うことができる。 こ の結果、 別に余分な工程を必要としないことと相まって、 プラズ マエッチング工程でのスループッ卜が向上する。
上述の S T E P 1 5における保護層 1 6 3 bの形成処理では、 H2の代わりに、 または H2とともに、 N2、 H eを用いてもよい c なお、 本実施形態において、 エッチング対象層 1 6 1は、 例示 した S i 02に代表される S i酸化物に限るものではなく、 S i窒 化物、 S i炭化物等の他の S i化合物、 単結晶 S 1、 多結晶 S i、 有機材料、 有機一無機ハイブリッ ド材料、 金属、 金属化合物等が 適用可能である。 また、 本実施形態では、 例示した A r Fフオトレ ジストゃ F 2フォトレジストのような耐プラズマ性の低いフォトレジス ト材料において特に有効であるが、 これに限らず、 電子線でリソグラフ ィ一を行う EBレジスト、 真空紫外線でリソグラフィ一を行う EUVレ ジスト、 K r Fレジスト等の他の有機フォトレジスト層でも同様の効果 を得ることができ、 さらには、 フォトレジスト層に限らず他のマスク層 であってもよい。 さらに、 プラズマ処理装置の構成も図 1のものに限る ものではない。
さらにまた、 保護層を形成する際の S i源として上部電極板を用いた が、 これに限らず、 処理容器内の構成部材、 例えばフォーカスリング、 シールドリング、 インナーチャンバ一を少なくともその表面が S iを含 むようにすることにより同様の S i源として用いることができる。 ただ し、 上部電極板は、 被処理体と対向して設けられているため、 耐プラズ マ性の向上処理が被処理体の面内で均一に行うことができるという利点 があり、 好ましい。
次に、 本実施形態に基づく実施例について説明する。
以下の各実施例および比較例での第 1の高周波電源 40、 第 2 の高周波電源 5 0の周波数はそれぞれ 6 0 MH z、 1 3. 5 6 M H zとした。
( 1 ) [フォトレジスト層のプラズマ処理]
ここでは、 エッチング対象層を覆う開ロパタ一ンが形成された フォ トレジスト層に対して、 H2、 N2、 H eの各々をプラズマ化 してプラズマ処理する実施例 1〜3 と、 A rをプラズマ化してプ ラズマ処理する比較例 1 を行った。 プラズマ処理は 1分間行った。 フォ卜レジス卜層としては A r Fフォトレジス卜を用いた。
(実施例 5— 1 )
処理容器内圧力 : 2. 0 1 P a ( 1 5 mT o r r )
第 1の高周波電源からの高周波電力 : 2 20 0 W 第 2の高周波電源からの高周波電力 : 1 0 0 W
処理ガスおよびその流量: H 2を 0. l LZm i n ( 1 0 0 s c c m)
(実施例 5— 2 )
処理容器内圧力 : 2. 0 1 P a ( 1 5 mT o r r )
第 1の高周波電源からの高周波電力 : 2 2 0 0 W
第 2の高周波電源からの高周波電力 1 0 0 W
処理ガスおよびその流量: N 2を 0. 1 L /m i n ( 1 0 0 s c c m)
(実施例 5— 3 )
処理容器内圧力 : 2. 0 1 P a ( 1 5 mT o r r )
第 1の高周波電源からの高周波電力 : 2 2 0 0 W
第 2の高周波電源からの高周波電力 : 1 0 0 W
処理ガスおよびその流量: H eを 0. l LZm i n ( l O O s c c m)
(比較例 5— 1 )
処理容器内 力 : 2. 0 1 P a ( 1 5 mT o r r )
第 1の高周波電源からの高周波電力 : 2 2 0 0 W
第 2の高周波電源からの高周波電力 : 1 0 0 W
処理ガスおよびその流量: A rを 0. l LZm i n ( l O O s c c m)
図 1 1 Aおよび図 1 1 Bは、 それぞれァクリル系およびメタク リル系の A r Fフォトレジス卜を用いたフォ トレジスト層におけ る、 プラズマ処理直後の表面分析結果 (H2、 N2、 H e、 A rで それぞれ示される線図) を示す図である。 これらに示されるよう に、 実施例 5 _ 1〜 5— 3では、 アクリル系およびメタクリル系 のいずれの A r Fフォトレジストにおいても、 H2、 N2、 H eの 各々のプラズマによるプラズマ処理によって、 フォトレジスト層 の表面に S i 一 Oや S i 一 C等に相当する結合エネルギーを持つ 物質を含む保護層が存在することが観測された。
これに対して、 比較例 5— 1の A rによるプラズマ処理の場合 には、 アクリル系およびメタクリル系のいずれの A r Fフォ トレ ジストにおいても、 上部電極板から供給される S i の付着が観測 されるのみであった。
フォ トレジス ト層の表面に S iが付着することによつても耐プ ラズマ性は向上するが、 この場合にはアツシング後にエッチング 対象層のホール付近に S iが付着する不都合が生じることがある。 この点から、 プラズマ処理には、 H2、 N2、 H eを使用するのが よいことが確認された。
(2) [有機反射防止層のエッチング後のフォトレジスト層のプ ラズマ処理]
エッチング対象層と、 エッチング対象層を覆う有機反射防止層 と、 有機反射防止層を覆う開口パターンが形成されたフォトレジ スト層とを有する被処理体 Wについて、 以下の条件で有機反射防 止層をエッチングし、 その後、 実施例 5— 1〜 5— 3、 比較例 5 一 1 と同じ条件でフォ トレジス ト層 1 6 3 をプラズマ処理した (図 9 A, 9 B、 図 1 0の S TE P 1 1〜 1 5)。
処理容器内圧力 : 6. 7 P a ( 5 0 mT o r r )
第 1の高周波電源からの高周波電力 : 1 0 0 0 W
第 2の高周波電源からの高周波電力 : 1 0 0W '
エッチングガスおよびその流量: C F 4を 0. l LZm i n ( 1 0 0 s c c m) 次いで、 エッチング対象層 1 6 1を以下の条件でエッチングし た (図 9 C、 図 5の S TE P 1 6〜 1 8)。
処理容器内圧力 : 2. 0 1 P a ( 1 5 mT o r r )
第 1の高周波電源からの高周波電力 : 2 1 7 0 W
第 2の高周波電源からの高周波電力 : 1 5 5 0 W
エッチングガスおよびその流量:
c - C 5 F 8 : 0. 0 1 5 L / i n ( 1 5 s c c m;
A r : 0. 3 8 0 L /m i n ( 3 8 0 s c c m)
O a : 0. 0 1 9 L Zm i n ( 1 9 s c c m)
以上のようにエッチング対象層のエッチングを行った後、 電子 顕微鏡写真で各被処理体のエッチング箇所の断面形状を観察した。 その結果、 A r Fフォトレジストからなるフォトレジスト層を H2
N2、 H e、 A rでプラズマ処理した被処理体ではいずれもフォト レジスト層の表面荒れや縦筋入りはほとんど見られなかった。 こ れに対して上記工程中においてフォ トレジスト層のプラズマ処理 を行わなかった被処理体ではフォ トレジストの表面荒れや縦筋入 りが見られた。
また、 有機反射防止層のエッチング後でエッチング対象層のェ ツチング前にフォトレジスト層のプラズマ処理を行う場合は、 有 機反射防止層とエッチング対象層のエッチング前にフォトレジス ト層 1 6 3のプラズマ処理を行う場合に比べて、 エッチング対象 層のエッチング後の A r Fフォトレジスト層の表面荒れや縦筋入 りは少なかった。 したがって、 有機反射防止層のエッチングでェ ッチング速度が大きくしかも A r Fフォトレジストへのダメージ が比較的少ない C F 4プラズマを使用し、 その後、 A r Fフオトレ ジスト層のプラズマ処理を行い、 次いで、 エッチング対象層のェ ツチングを行うようにすれば、 スループッ ト及びエッチング精度 の向上が可能となる。
(第 6の実施形態)
ここでは、 図 1のプラズマ処理装置 1を用いて、 図 1 2のような、 例えば S i 0 2からなる下地層 1 7 1と、 これを覆う反射防止層 1 7 2 と、 反射防止層 1 7 2を覆う開口パターンが形成された A r Fフオトレ ジストまたは F 2フォトレジストからなるフォトレジスト層 1 7 3を有 する被処理体 Wに対して、 フォトレジストマスク層 1 7 3の開口パター ン 1 7 3 aを介して反射防止層 1 7 2をプラズマエッチングする工程を 実施する。 この実施形態においても、 A r Fフォトレジストおよび F 2 フォトレジストとしては、 脂環族含有アクリル樹脂、 シクロォレフイン 樹脂、 シクロォレフイン一無水マレイン酸樹脂等を使用することができ る。 また、 反射防止層 6 2としては、 無機系のものでも、 有機系のもの でも使用可能であり、 例えば炭素含有材料であるアモルファスカーボン や、 有機高分子材料を使用することができる。
エッチングに際しては、 まず、 ゲートバルブ 3 2を開放して、 被処理 体 Wを処理容器 2内に搬入し、 静電チャック 1 1上に載置する。 次いで、 ゲートバルブ 3 2を閉じ、 排気装置 3 5によって処理容器 2内を減圧し た後、 バルブ 2 8を開放し、 処理ガス供給源 3 0から上記処理ガス、 例 えば C 2 F 4と 0 2とを供給し、 処理容器 2内の圧力を所定の値とする。 この状態で、 上部電極 2 1と下部電極であるサセプ夕 5に高周波電源 を印加し、 処理ガスをプラズマ化して被処理体 W中の反射防止層 1 7 2 をフォトレジストマスク層 1 7 3の開口パターン 1 7 3 aを介してエツ チングする。 一方、 上下電極に高周波電力を印加するタイミングの前後 に、 直流電圧を静電チャック 1 1内の電極 1 2に印加して、 被処理体 W を静電チャック 1 1上に静電吸着する。 エッチング中に、 所定の発光強度を終点検出器 (図示せず) によって 検出し、 これに基いてエッチングを終了する。
本実施形態では、 このように C2F4を含む処理ガス、 例えば C2F4 と 02とを含む処理ガスを用いて、 フォトレジスト層 1 73を介して反 射防止層 17 2をエッチングすることにより、 フォトレジスト層 173 の表面荒れを抑え、 反射防止層のフォトレジスト層に対する選択比を高 く維持するとともに、 反射防止層 172のエッチングレートを大きくす ることができる。
なお、 本実施形態では、 本発明は上記実施の形態に限定されることな く種々変形可能である。 例えば、 エッチング対象層として反射防止層の 場合を示したが、 これに限らず他の層をエッチングする場合であっても よい。 また、 C2F4を含有する処理ガスとしては、 C2F4と 02とを 含むものに限らない。 さらに、 C2F4と〇2とを含む処理ガスを用いた 場合には、 マスク層としては、 A r Fフォトレジストや F 2フォトレジ ストに限らず、 他のフォトレジストを用いることもでき、 さらには、 非 レジストマスク層を用いることもできる。 また、 エッチング装置の構成 も図 1のものに限るものではない。
以下、 本実施形態に基づく実施例について説明する。
まず、 実施例の条件は次の通りとした。 すなわち、 処理容器内圧力を 1. 33 P a (10 mT o r r) と 6. 66 P a (50 mT o r r ) に し、 処理ガスの C2F4と 02の流量比を C2F4 : 〇2= 5 : 2、 3 : 2、 5 : 4、 1 : 1、 3 : 4にし、 上部電極には周波数 60 MHzの高周波 電力を 600、 1 000、 1400Wで、 下部電極には周波数 2MH z の高周波電力を 1 00Wで印加した。
一方、 比較例の条件は次の通りとした。 すなわち、 処理容器内圧力を
6. 66 P a (5 OmT o r r ) にし、 処理ガスを C F4とし、 上部電 極には周波数 60 MHzの高周波電力を 1000Wで、 下部電極には周 波数 2 MH zの高周波電力を 1 0 0 Wで印加した。
このような条件でエッチングを行ったところ、 反射防止層の A r Fフ オトレジストマスク層に対する選択比 (反射防止層のエッチングレート ZA r Fフォトレジス卜マスク層のエッチングレート) は、 実施例と比 較例であまり変わらなかったが、 反射防止層のエッチングレートは、 実 施例では比較例の 1 . 2〜3 . 6倍となった。 また、 比較例のみならず 実施例においても、 A r Fフォトレジストマスク層の表面荒れは発生し なかった。 このことから、 実施例により A r Fフォトレジストマスク層 の表面荒れを生じさせずに、 高エッチングレートで反射防止膜をエッチ ングできることが確認された。
(第 7の実施形態)
ここでは、 上記図 1に示すプラズマ処理装置 1を用いて、 図 1 3 A のようなエッチング対象である S i 0 2層 1 8 1とこれを覆う反射防止 層 1 8 2とさらにこれを覆う A r Fフォトレジストまたは F 2フオトレ ジストからなるフォトレジスト層 1 8 3とを有する被処理体 Wにおいて、 フォトレジスト層 1 8 3の開ロパタ一ン 1 8 3 aを通して反射防止層 1 8 2をエッチングする工程と、 この工程の後の S i〇2層 1 8 1をエツ チングする工程について説明する。 この実施形態においても、 A r Fフ ォトレジストおよび F 2フォトレジストとしては、 脂環族含有アクリル 樹脂、 シクロォレフイン樹脂、 シクロォレフイン—無水マレイン酸樹脂 を使用することができる。 反射防止層としては、 有機高分子材料ゃァモ ルファスカ一ボンを使用することができる。
まず、 ゲートバルブ 3 2を開放して、 被処理体 Wを処理容器 2内に搬 入し、 静電チャック 1 1上に配置する。 次いで、 ゲートバルブ 3 2を閉 じ、 排気装置 3 5によって処理容器 2内を減圧した後、 バルブ 2 8を開 放し、 処理ガス供給源 3 0から Cと Fとを有する物質と Hを含有する物 質とを含むェッチングガスを供給し、 処理容器 2内の圧力を所定の値、 例えば 6. 66 P a (5 OmTo r r) とする。 この状態で、 上部電極 21と下部電極であるサセプ夕 5に高周波電源を印加し、 エッチングガ スをプラズマ化して被処理体 W中の反射防止層 1 82をエッチングする (図 1 3A)。 これにより、 反射防止層 1 82のエッチング終了後のフ オトレジスト層 183の残膜量を多くすることができ、 その次のエッチ ング対象部のエッチング工程で所望の開口形状を有する孔ゃ溝を形成す ることができる。
このエッチングの際に用いられる Cと Fとを含有する物質としては、 A r Fフォトレジスト層に与えるダメージが少ない CF4が例示される。 また、 Hを有する物質としては、 ハイドロカ一ボン、 H2、 ハイドロフ ルォロカ一ボンを用いることができる。 ハイドロ力一ボンとしては CH 4等が例示される。 ハイド口フルォロカーボンとしては、 Fの原子数に 対する Hの原子数の比が 3以上の物質が好適であり、 そのような物質と して CH3 Fが例示される。 CH3Fを用いる場合には、 エッチングガ ス中の Cと Fとを有する物質の流量に対する CH3Fの流量の比を 0. 04〜0. 07とすることにより、 CH3 Fを全く入れないときに比べ て反射防止層のエッチング終了後の A r Fフォトレジスト層の残膜量を かなり増加させることができる。
一方、 上下電極に高周波電源を印加するタイミングの前後に、 直流電 源 1 3を静電チャック 1 1内の電極 1 2に印加して、 被処理体 Wを静電 チャック 1 1上に静電吸着する。 このようにして反射防止層 182のェ ツチングが終了したらエッチングガスおよび高周波電力の供給を停止す る。 '
次いで、 処理容器 2内に別のエッチングガス、 例えば C5F8と 02と A rの混合ガスを供給し、 処理容器 2内の圧力を所定の値、 例えば 2. 00 P a ( 1 5mT o r r ) に調整する。 上部電極 2 1と下部電極であ るサセプ夕 5に高周波電源を印加し、 このエッチングガスをプラズマ化 して被処理体 W中の S i〇2層 1 8 1をエッチングする (図 1 3 B エッチング中に、 所定の発光強度を終点検出器 (図示せず) によって検 出し、 これに基いてエッチングを終了する。
なお、 エッチング対象部は、 上記のような S i 02層に限るものでは なく、 TE〇S、 BPSG、 P SG、 SOG、 熱酸化膜、 HTO、 F S G、 有機系酸化 S i膜、 CORAL (ノベラス社) 等の酸化膜 (酸素化 合物) や低誘電体有機絶縁膜等のエッチングに適用可能である。 また、 適用されるプラズマエッチング装置の構成も図 1のものに限るものでは ない。
次に、 本実施形態に基づく実施例について説明する。
被処理体は図 1 3 Aのような S i〇2層 (膜厚は 2 /zm) とこれを覆 う反射防止層 (膜厚は 60 nm) とさらにこれを覆う A r Fフォトレジ スト層 (膜厚は 360 nm) を有するものを用いた。
実施例の反射防止層のエッチング条件は以下のとおりとした。 すなわ ち、 処理容器 2内の圧力を 6. 66 P a (5 OmTo r r) とし、 エツ チングガスを CF4 (流量は 1 0 OmLZm i n (s c cm)) と CH3 F (流量は 4または 7mL/m i n (s c cm)) の混合ガスとし、 上 部電極には 60MHzの周波数の高周波電源から 1000 Wの高周波電 力を印加し、 下部電極には 2 MHzの周波数の高周波電源から 100W の高周波電力を印加した。 また、 CH3Fに代えて、 それぞれ H2 (流 量は 5、 1 0または 1 5 mLZm i n (s c cm))、 CH2 F 2 (流量 は 5または 1 OmL/m i n (s c cm)) および CHF3 (流量は 1 0、 30、 50または 7 OmL/m i n (s c cm)) を用いたエッチ ングガスでも同様にエッチングを行った。 比較例ではエッチングガスを C F4のみ (流量は 10 OmL/m i n (s c cm)) とし、 その他のエッチング条件は実施例と同じとした。 以上の実施例および比較例の条件で反射防止膜 182のエッチングを 行い、 一定のエッチング時間経過後の A r Fフォ卜レジスト層の残膜の 厚さを測定したところ以下の結果が得られた。
実施例において、 CH3Fを用いた場合には、 その流量が 4mLZm 1 11では37 511111 7 mL Zm i nでは 40 5 n mであった。 H2を 用いた場合には、 その流量が 5mLZm i nでは 345 nm 1 OmL Zm i nと 1 5mL/m i nでは 3 60 nmであった。 CH2F2を用 いた場合は、 その流量が 5mLZm i nでは 345 nm 1 OmL/m i nでは 400 nmであった。 CHF3を用いた場合は、 その流量が 1 OmL/m i nでは 350 nm 30 mLZm i nでは 360 nm 5 OmL/m i nでは 360 nm 7 OmL/m i nでは 390 nmであ つた。 これに対して、 比較例では 330 nmであった。
以上より、 いずれの実施例においても比較例より残膜の厚さは増加し ていることが確認された。 これは A r Fフォトレジスト層をエッチング する F活性種が Hを有するガスから生成した H活性種と適度に反応して HF等のガスになり処理容器外へ排出されたためと考えられる。
また、 これらの実施例の中でも特に CH3Fが優れていた。 CH3F の流量が少量であるにもかかわらず残膜量が多かったのは、 分子中の H 原子の数が F原子の数に比べて多かったためと考えられる。 ただし、 H 2のような化学的に安定している物質では、 H活性種が生成しても F活 性種と反応するよりも別の H活性種と反応して再結合する方が優勢であ ると推測され、 残膜量は他の物質のときと比べて多くはなかつた。 したがって、 物質自体がある程度不安定であってこの物質中に H原子 が多く存在するもの、 例えばハイド口カーボン (CH C2H4等) や ハイド口フルォロカ一ボン (特に、 Fの原子数に対する Hの原子数の比 が 3以上のもの、 例えば CH3F) 等をエッチングガスに入れるとよい ことが確認された。 また、 CH3Fを使用する場合には、 Cと Fとを有 する物質である CF4の流量に対する CH3Fの流量の比が 0. 04〜 0. 07という少量であっても残膜量を多くすることができることも確 認された。
(第 8の実施形態)
ここでは、 上記図 1に示すプラズマ処理装置 1を用いて、 図 14A のような、 エッチング対象層である S i 02層 1 9 1とこれを覆う反射 防止層 1 92とさらにこれを覆う A r Fフォトレジストまたは F 2フォ トレジストからなるフォトレジスト層 1 93とを有する被処理体 Wに対 して、 フォトレジスト層 193の開口パターン 193 aを通して反射防 止層 1 92をエッチングする工程と、 この工程の後の S i 02層 1 91 をエッチングする工程とを実施する。 この実施形態においても A r Fフ オトレジストおよび F 2フォトレジストとしては、 脂環族含有アクリル 樹脂、 シクロォレフイン樹脂、 シクロォレフイン—無水マレイン酸樹脂 を使用することができる。 反射防止層としては、 有機高分子材料ゃァモ ルファスカ一ボンを使用することができる。
まず、 ゲートバルブ 32を開放して、 被処理体 Wを処理容器 2内に搬 入し、 静電チャック 1 1上に配置する。 次いで、 ゲートバルブ 32を閉 じ、 排気装置 35によって処理容器 2内を減圧した後、 バルブ 28を開 放し、 処理ガス供給源 30から Cと Fとを有する物質と COとを含む第 1エッチングガス、 例えば CF4と COの混合ガスを供給し、 処理容器 2内の圧力を所定の値、 例えば 1 3. 3 P a (l O OmTo r r) とす る。 この状態で、 上部電極 2 1と下部電極であるサセプ夕 5に高周波電 源を印加し、 第 1エッチングガスをプラズマ化して被処理体 W中の反射 防止層 192をエッチングする (図 14A)。 一方、 上下電極に高周波 電源を印加するタイミングの前後に、 直流電源 13を静電チャック 1 1 内の電極 12に印加して、 被処理体 Wを静電チャック 1 1上に静電吸着 する。 反射防止層 1 92のエッチングが終了したら第 1エッチングガス および高周波電力の供給を停止する。
次いで、 処理容器 2内に第 2エッチングガス、 例えば C5F8、 C4F 6のようなフルォロカーボンを含むガス、 具体的には C 5F8または C4 F6と〇2と A rの混合ガスを供給し、 処理容器 2内の圧力を第 2エツ チングの所定の値、 例えば 2. 00 P a ( 1 5mT o r r ) に調整する。 上部電極 2 1と下部電極であるサセプ夕 5に高周波電源を印加し、 第 2 エッチングガスをプラズマ化して被処理体 W中の S i 02層 19 1をェ ツチングする (図 14B)。 エッチング中に、 所定の発光強度を終点検 出器 (図示せず) によって検出し、 これに基づいてエッチングを終了す る。
なお、 エッチング対象部は、 上記のような S i 02層に限るものでは なく、 TE〇S、 B PS G、 P SG、 S〇G、 熱酸化膜、 HTO、 F S G、 有機系酸化 S i膜、 CORAL (ノベラス社) 等の酸化膜 (酸素化 合物) や低誘電体有機絶縁膜等のエッチングに適用可能である。 また、 適用されるプラズマエッチング装置の構成も図 1のものに限るものでは ない。
次に、 本実施形態に基づく実施例について説明する。
被処理体として図 14 Aのものを用いた。 実施例の第 1エッチング条 件は以下のとおりとした。 すなわち、 処理容器 2内の圧力を 6. 66 P a ( 5 OmT o r r ) または 1 3. 3 P a (l O OmTo r r) とし、 第 1エッチングガスの流量を CF4 : 7 5、 1 00または2001111^7 m i n (s c cm)、 CO : 2 5、 1 0 0または 2 0 0mL /m i n (s c cm) とし、 上部電極には 60 MHzの周波数の高周波電源から 1000、 1 500または 2000Wの高周波電力を印加し、 下部電極 には 2MH zの周波数の高周波電源から 100Wの高周波電力を印加し た。
比較例の第 1エッチング条件は以下のとおりとした。 すなわち、 容器 内圧力を 6. 66 P a (5 OmT o r r ) とし、 第 1エッチングガスと して C F4のみを 10 OmLZm i n ( s c c m) の流量で添加し (C Oは添加せず)、 高周波電源の周波数、 印加電力は実施例と同じとした。 実施例および比較例の第 2エッチング条件は以下のとおりとした。 す なわち、 処理容器内圧力を 2. 00 P a ( 1 5mTo r r ) とし、 第 2 エッチングガスの C5F8、 02、 A rの流量をそれぞれ 1 5、 1 9、 3 8 OmLZm i n ( s c c m) とし、 上部電極には 60 MH zの周波数 の高周波電源から 2170Wの高周波電力を印加し、 下部電極には 2 M H zの周波数の高周波電源から 1550Wの高周波電力を印加した。 以上のような条件で第 1エッチングおよび第 2エッチングを行った結 果、 第 2エッチング工程での S i〇2層の A r Fフォトレジスト層に対 する選択比 (S i 02層のエッチングレート ZA r Fフォトレジスト層 のエッチングレート) は、 実施例が比較例を大きく上回った。 例えば、 実施例の第 1エッチング条件が、 圧力: 13. 3 P a ( 10 OmT o r r)、 CF4流量: 75mL/m i n ( s c c m)、 CO流量: 25mL ノ m i n ( s c c m)、 上部電極印加電力: 1 0 00Wのときの上記選 択比は 9. 7であり、 比較例の上記選択比は 6. 3であった。
また、 第 2エッチング工程の C5F8に代えて C4F 6を使用したとき にも、 上記選択比は実施例 (第 1エッチングガスが CF4と CO) が比 較例 (第 1エッチングガスが CF4のみ) を上回った。
なお、 Cと Fとを有する物質と C 0とを含むガスのプラズマによって 炭素間結合を有する保護膜が A r Fフォトレジスト層表面に形成される と考えられるため、 単に A r Fフォトレジスト層表面に Cと Fとを有す る物質と C Oとを含むガスのプラズマを照射するだけで A r Fフオトレ ジスト層の耐プラズマ性を向上させることができる。
また、 本発明は、 A r Fフォトレジスト層の場合ほど耐プラズマ性の 向上効果はないものの、 A r Fフォトレジスト層以外のマスク層にも適 用することができる。
さらに、 第 2エッチングガスとしては C 5 F 8や C 4 F 6を含むガスに 限らず、 フロロ力一ポン、 ハイド口フロロカーボン等の他のフッ素含有 化合物を含むガスも使用することができる。
(第 9の実施形態)
ここでは、 上記図 1に示すプラズマ処理装置 1を用いて、 図 1 5のよ うな、 エッチング対象である S i 0 2層 2 0 1と、 これを覆う有機反射 防止層 2 0 2と、 この有機反射防止層 2 0 2を覆う開口パターン 2 0 3 aが形成された A r Fフォトレジストまたは F 2フォトレジストからな るフォトレジスト層 2 0 3とを有する被処理体 Wに対して、 フォトレジ スト層 2 0 3の開口パターンを通して、 有機反射防止層 2 0 2をプラズ マエッチングする工程と、 次いで S i 0 2層 2 0 1をプラズマエツチン グする工程とを実施する。 この実施形態においても A r Fフォトレジス トおよび F 2フォトレジストとしては、 脂環族含有アクリル樹脂、 シク 口才レフイン樹脂、 シクロォレフィンー無水マレイン酸樹脂を使用する ことができる。 有機反射防止層 2 0 2は、 例えば有機系高分子材料で形 成されている。
まず、 ゲ一トバルブ 3 2を開放して、 被処理体 Wを処理容器 2内に搬 入し、 静電チャック 1 1上に配置する。 次いで、 ゲートバルブ 3 2を閉 じ、 排気装置 3 5によって処理容器 2内を減圧した後、 バルブ 2 8を開 放し、 エッチングガス供給源 3 0から、 S i含有物質である S i F 4を 含むエッチングガスを供給し処理容器 2内の圧力を所定の値とする。 S i F 4の代わりに他の S iを含む物質を用いてもよいが、 有機反射防止 層 2 0 2のエッチング速度を大きくする観点から S i F 4が好ましい。 エッチングガスには S i含有物質の他に C H F 3、 H B r、 H eまたは H 2を含んでもよく、 例えば S i F 4と H 2を用いる。
この状態で第 1、 第 2の高周波電源 4 0、 5 0から高周波電力を供給 してエッチングガスをプラズマ化し、 フォトレジスト層 2 0 3の開口パ ターン 2 0 3 aを通して有機反射防止層 2 0 2をエッチングする。 一方、 第 1、 第 2の高周波電源 4 0、 5 0から高周波電力を供給するタイミン グの前後に、 静電チャック 1 1内の電極 1 2に直流電圧を印加して、 被 処理体 Wを静電チャック 1 1上に静電吸着させる。 所定の時間だけエツ チングしたら高周波電力やエッチングガスの供給を停止して有機反射防 止層 2 0 2のエッチングを終了する。 所定の発行強度を終点検出器 (図 示せず) によって検出し、 これに基づいてエッチング工程を終了しても よい。
次いで、 同一処理容器内または別の処理容器内で、 有機反射防止層 2 0 2のエッチングと同様な手順でフォトレジスト層の開口パターン 2 0 3 aを通して S i〇2層 2 0 1をプラズマエッチングする。 このときの エッチングガスとしては、 例えば C 4 F 6と 0 2と A rを使用することが できるがこれに限定されるものではない。
このように、 フォトレジスト層 2 0 3の開口パターンを通して有機反 射防止膜 2 0 2をプラズマエッチングする際に、 S iを含むガスである S i F 4ガスを用いるので、 エッチング中にフォトレジスト層 2 0 3の 表面に S iを含む薄い硬化層が形成され、 フォトレジスト層 2 0 3の耐 プラズマ性を向上させることができる。 したがって、 有機反射防止層 2 0 2をエッチングする際に表面荒れや縦筋入りが入ることなく、 耐プラ ズマ性の低い A r Fフォトレジストまたは F 2フォ卜レジストからなる フォトレジスト層 2 0 3の耐プラズマ性を高く維持することができる。 この場合に、 有機反射防止層 2 0 2のエッチングガスが H 2を含有する 場合には、 フォトレジスト層 2 0 3の表面の C = 0結合が化学的により 強固な C— C結合または C = C結合に変換するため、 上述のフォトレジ スト層 2 0 3表面への S iを含む薄い硬化層の形成と相まって、 耐プラ ズマ性をより向上させることができる。
また、 このようにして有機反射防止層 2 0 2をエッチングした後に、 フォトレジスト層 2 0 3の開口パターン 2 0 3 aを通してエッチング対 象層である S i 0 2層 2 0 1のエッチングを実施するので、 有機反射防 止層 2 0 2をエッチングした際に耐プラズマ性が向上したフォトレジス ト層 2 0 3は、 エッチング対象である S i 0 2層 2 0 1のプラズマエツ チングにおいてもプラズマ耐性が高く維持され、 フォトレジスト層の表 面荒れや縦筋入りを生じさせずプラズマエッチングすることができる。 なお、 エッチング対象層は、 上記 S i〇2に代表される S i酸化物に 限るものではなく、 S i窒化物、 S i炭化物等の他の S i化合物、 単結 晶 i、 多結晶 S i、 有機材料、 有機一無機ハイブリッド材料、 金属、 金属化合物等が適用可能である。 また、 プラズマ処理装置の構成も図 1 のものに限るものではない。
次に、 本実施形態に基づく実施例について説明する。
ここでは、 図 1 5の構造の被処理体を用いて、 S iを含む物質を有す る様々なエッチングガスを用いた有機反射防止層のエッチング (実施例 9一 1〜9一 7 ) と、 S iを含む物質を有しないエッチングガスを用い た有機反射防止層のエッチング (比較例 9— 1, 9 - 2 ) を行った。 各実施例および比較例での第 1の高周波電源、 第 2の高周波電源の周 波数はそれぞれ 6 0 MH z、 1 3 . 5 6 M H zとした。 また、 以下の条 件での各実施例および比較例での有機反射防止層のエッチングの後に、 後述するエッチング条件の下で S i〇2層のプラズマエッチングを行つ た。
有機反射防止層のエッチング
(実施例 9一 1 )
処理容器内圧力: 0. 67 P a (5mTo r r)
第 1の高周波電源からの高周波電力: 300 W
第 2の高周波電源からの高周波電力: 60W
エッチングガスおよびその流量:
3 1 ?4を0. O S LZmi n ( 80 s c c m)
(実施例 9一 2 )
処理容器内圧力: 6. 7 P a (5 OmTo r r)
第 1の高周波電源からの高周波電力: 700 W
第 2の高周波電源からの高周波電力: 100 W
エッチングガスおよびその流量:
S i F 4を 0. l L/m i n ( 100 s c c m)
(実施例 9一 3)
処理容器内圧力: 0. 67 P a (5mTo r r)
第 1の高周波電源からの高周波電力: 300 W
第 2の高周波電源からの高周波電力: 60 W
エッチングガスおよびその流量:
S i F4を 0. 04LZmi n (40 s c cm)
CHF 3を 0. 04 L/m i n (40 s c cm)
(実施例 9一 4)
処理容器内圧力: 0. 67 P a (5mTo r r)
第 1の高周波電源からの高周波電力: 300 W 第 2の高周波電源からの高周波電力: 60W エッチングガスおよびその流量:
S i F4を 0. 04L/m i n (40 s c cm) HB rを 0. 04L/m i n (40 s c c m) (実施例 9一 5)
処理容器内圧力: 0. 67 P a ( 5mT o r r ) 第 1の高周波電源からの高周波電力: 300 W 第 2の高周波電源からの高周波電力: 60W エッチングガスおよびその流量:
S i F^O. 04LZm i n (40 s c c m) Heを 0. 04LZm i n (40 s c c m) (実施例 9一 6)
処理容器内圧力: 0. 67 P a (5mT o r r ) 第 1の高周波電源からの高周波電力: 300 W 第 2の高周波電源からの高周波電力: 60W エッチングガスおよびその流量:
S i F^O. 04LZm i n (40 s c c m) HB i^O. 02 LZm i n ( 20 s c c m) Heを 0. 02 L ,/m i n ( 20 s c c m) (実施例 9— 7)
処理容器内圧力: 6. 7 P a (5 OmT o r r ) 第 1の高周波電源からの高周波電力: 1000 W 第 2の高周波電源からの高周波電力: 1 00W エッチングガスおよびその流量:
S i F4を 0. 03L/m i n ( 30 s c c m) H2を 0 · 02 L/m i n ( 20 s c c m) (比較例 9一 1 )
処理容器内圧力: 0. 93 P a (7mTo r r) 第 1の高周波電源からの高周波電力: 1 00W
第 2の高周波電源からの高周波電力: 250W
エッチングガスおよびその流量:
CF^O. 072 LZm i n ( 72 s c c m)
CHF 3を 0. 026 L/m i n ( 26 s c c m) 02を0. 006 L/m i n ( 6 s c c m)
(比較例 9一 2 )
処理容器内圧力: 6. 7 P a (5 OmT o r r ) 第 1の高周波電源からの高周波電力: 1 000 W 第 2の高周波電源からの高周波電力: 100W
エッチングガスおよびその流量:
CF 0. l LZm i n ( 100 s c c m)
S i〇 ,層のエッチング
(実施例 9— 1、 9 _ 3〜9 _ 6および比較例 9— 1) 処理容器内圧力: 1 6 P a (12 OmT o r r ) 第 1の高周波電源からの高周波電力: 550 W
第 2の高周波電源からの高周波電力: 350W
エッチングガスおよびその流量:
C F 4を 0. l L/m i n ( 100 s c c m)
CHF 3を 0. O e LZm i n ( 60 s c c m)
(実施例 9— 2、 9一 7および比較例 9一 2) 処理容器内圧力: 2. 7 P a (2 OmT o r r) 第 1の高周波電源からの高周波電力: 1 800 W 第 2の高周波電源からの高周波電力: 1 1 50W エッチングガスおよびその流量:
C4F^ 0. 025 L/m i n ( 25 s c c m)
2を0. 026 LZm i n ( 26 s c c m)
Arを 0. 7 L/m i n ( 700 s c c m)
以上のように S i 02層 20 1のエッチングを行った後、 電子顕微鏡 写真で各実施例および比較例の被処理体 Wのエッチング箇所の断面形状 を観察した。 その結果、 実施例 9一 1〜9一 7ではいずれも A r Fフォ トレジスト層 203の表面荒れや縦筋入りはほとんど見られなかったが、 比較例 9— 1、 9 _ 2ではいずれも A r Fフォトレジスト層 203の表 面荒れや縦筋入りが見られた。
(第 1 0の実施形態)
ここでは、 図 16 Aに示すような、 S i〇2膜に代表される S i酸化 物からなるエッチング対象層 2 1 1とこれを覆う A r Fフォトレジスト または F 2フォトレジストからなるマスク層 2 12とを有する被処理体 Wに対し、 図 1のプラズマ処理装置を用いて、 以下に示す一連の工程を 実施する。 この実施形態においても A r Fフォ卜レジストゃ F 2フォト レジストとしては、 脂環族含有アクリル樹脂、 シクロォレフイン樹脂、 シクロォレフィンー無水マレイン酸樹脂、 メ夕クリル酸樹脂等を使用す ることができる。 本実施形態においては、 図 1の装置におけるシャワー へッドでもある上部電極板 24が S iで構成されている。
まず、 ゲートバルブ 3 2を開放して、 被処理体 Wを処理容器 2 内に搬入し、 静電チャック 1 1上に配置する。 次いで、 ゲートパ ルブ 3 2を閉じ、 排気装置 3 5によって処理容器 2内を減圧した 後、 バルブ 2 8を開放し、 処理ガス供給源 3 0から不活性ガス、 例えば A rを供給し、 処理容器 2内の圧力を例えば 1. 3 3 P a (1 0 mT o r r)とする。 不活性ガスとしては K r、 X e等、 他 のものを用いてもよい。 この状態で、 上部電極 2 1 と下部電極で あるサセプ夕 5にそれぞれ高周波電源 4 0および 5 0から高周波 電力を印加し、 不活性ガスの少なく とも一部をイオン化して S i からなる上部電極板 2 4をスパッ夕する。 一方、 上下電極に高周 波電力を印加するタイミングの前後に、 直流電源 1 3を静電チヤ ック 1 1内の電極 1 2に印加して、被処理体 Wを静電チヤック 1 1 上に静電吸着させる。
この際に、 上部電極 2 1に印加する高周波電力が不活性ガスの イオン化を促すエネルギーである。 このようにして S iからなる 上部電極板 2 4をスパッタすることにより、 図 1 6 Bに示すよう に、 マスク層 2 1 2の表面に S i含有層 2 1 3を形成することが できる。 マスク層 2 1 2の表面に S i含有層 2 1 3を形成する時 間は、 短すぎると耐プラズマ性向上の効果が余りなく、 長すぎる とマスク層 2 1 2の開口部分のエッチング対象層 2 1 1表面にも S i含有層が多く形成されてしまいその後のエッチングを阻害し てしまうから適当な時間を選択することが好ましい。 例えば、 上 部電極 2 1に印加する高周波電力の周波数 : 6 0 M H z、 電力 : 2 0 0 0 W、 サセプ夕 5に印加する高周波電力の周波数: 2 M H z電力 : 1 0 0 Wの条件を採用することができるが、 この条件で は、 上記処理の時間は 6 0〜 9 0秒間の範囲が好ましかった。
また、 電力については、上部電極印加電力を 1 2 5 0 W、サセプ 夕印加電力を 4 0 0 Wとした場合(いわゆる V P Pを低くした場合) よりも上記条件の方が S i含有層形成時のマスク層の開口形状の 変化を少なくすることができた。 V p pが高過ぎるとマスク層の開 口が拡がってしまい、 その後のエッチング工程で設計した開口パ 夕一ンの孔や溝が作成できなくなってしまう。 上述のようなマスク層表面への S i含有層形成が終わったら、 高周波電力の印加を停止する。
この後、 処理容器 2内にエッチングガスを導入し、 上部電極 2 1 とサセプ夕 5に高周波電力を印加し、 エッチング対象層 2 1 1 をエッチングする。 例えばエッチング対象層 2 1 1が S i酸化物 で形成されている場合は、 C 4 F 6、 C 4 F 8、 C 5 F 8の中から選 択される少なく とも 1つを含むガスであることが好ましい。 この ようなエッチングガスとしては、 C 4 F 6と〇 2と A rの混合ガス が例示される。 また、 処理容器 2内の圧力は 2. 6 7 P a(2 0m T o r r )、上部電極 2 1 とサセプ夕 5に印加する高周波電力はそ れぞれ 1 6 0 0 Wと 8 0 0 Wが例示される。 この際の高周波電力 の周波数は、 ともにスパッタリング時と同じ 6 0 MH z、 2 MH zが例示される。 高周波電力の印加によりエッチングガスはブラ ズマ化し、 例えば S i酸化物からなるエッチング対象層 2 1 1を エッチングする。 エッチングが終了したらエッチングガスおよび 高周波電力の印加を停止する。
上記例示の条件で S i酸化物からなるエッチング対象層 2 1 1 をエッチングしたところ、 マスク層 2 1 2に対するエッチング対 象層 2 1 1の選択比 (エッチング対象層のエッチングレ一トノマ スク層のエッチングレ一ト) は 2 8. 8であった。 マスク層 2 1 2表面への S i含有層形成を行っていないときのエッチングでは 上記選択比は 8. 2であった。
このようにしてエッチングを行った後、 引き続き S i含有層 2 1 3が表面に形成されたマスク層 2 1 2を除去する工程(アツシン グ工程)を実施する。 ここでは S i含有層 2 1 3が表面に形成され たマスク層 2 1 2の除去を多段階で行う場合の例を示す。 第 1段階では、 処理容器 2内にフッ素を含むガス、 例えば C F4 を導入し、 所定時間上部電極 2 1 とサセプ夕 5に高周波電力を印 加し、 マスク層 2 1 2に形成された S i含有層 2 1 3をほぼ完全 に除去する。 S i含有層が残っていると次の第 2段階で、 マスク 層 2 1 2を除去し終わったときに被処理体の表面に S i含有物が 付着していることがあるからである。 この際に、 処理容器 2内の 圧力は 6. 6 6 P a(5 0 mT o r r)、上部電極 2 1 とサセプタ 5 に印加する高周波電力はそれぞれ 1 6 0 0 Wと 8 0 0 W、 周波数 はともにスパッタリング時と同じ 6 0 M H z、 2 MH zが例示さ れる。 この条件で例えば 9 0秒間処理することにより S i含有層 2 1 3をほぼ完全に除去することができる。
また、 この際のガスとして、 C F 4に 02と A rを添加したガス を用いた場合には、 A r Fフォトレジストからなるマスク層 2 1 2にダメージを与えてしまった。 したがって、 C F 4単独のガスを 使用するか、 C F 4に 02や A r等を添加する場合には少量である ことが好ましい。
フッ素化合物を含有するガスとしては、 C F 4以外のガスを用い てもよいが、 S i含有層 2 1 3の下地の A r Fフォ 卜レジス卜等 からなるマスク層 2 1 2へのダメージを少なくする観点から C F 4 を用いることが好ましい。
第 2段階では、 所定の処理ガスを導入し、 上部電極 2 1 と下部 電極であるサセプ夕 5に高周波電力印加して、 S i含有層 2 1 3 が大部分除去された後のマスク層 2 1 2自体を除去する。 このと き、 処理ガスとしては、 フッ素化合物を含まないガス、 例えば 02 ガス単独、 または O 2と N2や A r とを含む混合ガス、 または〇2 と N2と H2との混合ガス等を用いることが好ましい。 この第 2段階の処理を実際に行った。 この場合に、 圧力、 高周 波電力、 高周波電源の周波数等は上記第 1段階における例から変 更せずに、 処理ガスのみを変更させてアツシングを行った。 ここ では〇2を使用した。 マスク層 2 1 2を除去した被処理体を観察す ると、 孔ゃ溝の開口形状及び断面形状はほぼ設計したとおりであ つた。また、 S i含有物の被処理体への付着も起こらなかった。 本実施形態では、 以上のように平行平板型電極に高周波電力を 印加した際のエネルギーにより不活性ガスをイオン化し、 これに より S iからなる上部電極板 2 4をスパッ夕してマスク層 2 1 2 の表面に付着し S i含有層を形成するので、 マスク層自体のとき に比べて耐プラズマ性をかなり向上させることができる。 特に、 マスク層 2 1 2として耐プラズマ性が低い A r Fフォ トレジスト または F 2フォ トレジストを用いた場合には、 その耐プラズマ性 向上効果は著しい。
また、 エッチング対象層をエッチングした後のアツシングにお いて、 S i含有層 2 1 3の除去とマスク層 2 1 2 自体の除去に分 けて多段階に除去するので、 S i含有層 2 1 3とマスク層が形成 されている場合でもそれぞれの層の性質に適した除去をすること ができる。 もちろん一度に S i含有層 2 1 3とマスク層 2 1 2を 除去することも可能である。 いずれを採用するかは、 多段階での 除去と一度での除去との総合的な利点及び欠点を比較して決定す ればよい。
なお、 スパッタリングによりマスク層に S i含有層を形成する 際のターゲッ トとしては、 上記例示の上部電極板に限らず、 処理 容器内に配置された、 表面の少なく とも一部が S iである部材で あれば、 フォーカスリング等の他の部材であってもよいし、 新た にターゲッ トとして S i部材を配置してもよい。 また、 デバイス 加工していない他の S i ウェハ自体(ベアウェハ)を処理容器内に 入れてターゲッ トとして使用することができる。 また、 ターゲッ トとして用いる S i としては単結晶 S iがスパッ夕リングを行う のに都合がよい。
さらに、 上記例ではスパッタリングをプラズマエッチングを行 うための平行平板型装置を利用して高周波エネルギーを用いて行 つたが、 これに限らず、 少なくとも不活性ガスの一部がイオン化 するエネルギーを与えられるものを採用することができる。 例え ばエネルギーとしては高周波エネルギーに限らずマイク口波エネ ルギ一等を使用することができる。 また、 高周波エネルギーを用 いる場合でも、 上記平行平板とは異なり、 アンテナン高周波電力 を印加して誘導電磁界を形成する方式を用いることもできる。
さらにまた、 マスク層 2 1 2の表面に S i含有層 2 1 3を形成 する方法はスパッタリングに限らない。 例えば、 マスク層 2 1 2 の表面に C V Dで S i含有層 2 1 3を形成してもよい。 C V Dで S i含有層 2 1 3を形成する塲合には、 原料となるガスとしては 有機シラン系ガスや無機シラン系ガスを使用することができるが、 無機シラン系ガスのほうが好ましい。 この場合の C V Dは、 これ らのガスを用いて常法に従って実施することができる。
マスク層 2 1 2の表面に S i含有層 2 1 3を形成する方法とし て、 エッチングガスに S i F 4等の S i化合物を加える方法を採用 することもできる。 これにより、 A r Fフォトレジストまたは F 2フォ トレジストからなるマスク層 2 1 2の体プラズマ性向上と エッチング対象層 2 1 1のエッチングを同時に行うことができる。 なお、 本実施形態において、 エッチング対象層としては、 上記 S i酸化物に限るものではなく、 例えば S i C、 S i N、 有機低 誘電体、 S i O F、 金属、 金属化合物等、 種々の材料のものを適 用可能である。 ただし、 マスク層の表面に形成された層は S i を 主成分とするため、 エッチング対象層が S iである被処理体には 適用が困難である。 マスク層表面とエッチング対象層が同じ材質 だとエッチングレートがほぼ同じになるからである。 また、 マス ク層としては、 A r Fフォトレジストゃ F 2フォトレジストのような 耐プラズマ性の低いフォトレジスト材料に限らず、 他の有機フォトレジ スト層でもよく、 さらには、 フォトレジストに限らず他のマスク層であ つてもよい。

Claims

請求の範囲
1 . 表面に有機層を有する被処理体を準備する工程と、
前記被処理体に対して、 H 2のプラズマを照射して前記有機層の耐プ ラズマ性を向上させる工程と
を有するプラズマ処理方法。
2 . 請求項 1の方法において、 前記有機層はマスク層であるプラズマ 処理方法。
3 . 請求項 2の方法において、 前記マスク層はフォトレジスト層であ るプラズマ処理方法。
4 . 請求項 3の方法において、 前記フォトレジスト層は A r Fフォト レジストまたは F 2フォトレジストで構成されているプラズマ処理方法。
5 . 表面に有機層を有する被処理体を準備する工程と、
前記被処理体に対して、 H 2と不活性ガスとを含む処理ガスのプラズ マを照射して前記有機層の耐プラズマ性を向上させる工程と
を有するプラズマ処理方法。
6 . 請求項 5の方法において、 前記有機層はマスク層であるプラズマ 処理方法。
7 . 請求項 6の方法において、 前記マスク層はフォトレジスト層であ るプラズマ処理方法。
8 . 請求項 7の方法において、 前記フォトレジスト層は A r Fフォト レジストまたは F 2フォトレジストで構成されているプラズマ処理方法。
9 . 請求項 5の方法において、 前記処理ガスは N 2を含むプラズマ処 理方法。
1 0 . 表面に有機層を有する被処理体を準備する工程と、
前記被処理体に対して、 Hを有する物質と不活性ガスとを含む処理ガ スのプラズマを照射して前記有機層の耐プラズマ性を向上させる工程と を有するプラズマ処理方法。
1 1. 請求項 10の方法において、 前記有機層はマスク層であるブラ ズマ処理方法。
1 2. 請求項 1 1の方法において、 前記マスク層はフォトレジスト層 であるプラズマ処理方法。
1 3. 請求項 1 0の方法において、 前記 Hを有する物質は NH3であ るプラズマ処理方法。
14. 請求項 1 0の方法において、 前記処理ガスは N2を含むプラズ マ処理方法。
1 5. 表面に A r Fフォトレジストまたは F 2フォトレジストからな るフォトレジスト層を有する被処理体を準備する工程と、
前記被処理体に対して、 Hを有する物質を含む処理ガスのプラズマを 照射して前記フォトレジスト層の耐プラズマ性を向上させる工程と を有するプラズマ処理方法。
1 6. 請求項 1 5の方法において、 前記 Hを有する物質は H2である プラズマ処理方法。
1 7. 請求項 1 5の方法において、 前記 Hを有する物質は NH3であ るプラズマ処理方法。
1 8. 請求項 1 5の方法において、 前記処理ガスは N2を含むプラズ マ処理方法。
1 9. 請求項 1 5の方法において、 前記プラズマを照射する工程 は、 圧力が 1 3. 3 P a ( l O OmT o r r) 以下の雰囲気で実施 されるプラズマ処理方法。
20. 請求項 1 9の方法において、 前記プラズマを照射する工程は、 圧力が 1. 1〜4. 0 P a (8〜30mTo r r) の雰囲気で実施さ れるプラズマ処理方法。
2 1 . 請求項 1 9の方法において、 前記被処理体は、 前記フォト レジスト層の下にエッチング対象層を有し、 前記フォトレジストは 開口パターンを有し、 前記プラズマ照射の後、 前記フォトレジスト 層の前記開口パターンを介して前記エツチング対象層をプラズマェ ツチングする工程を有するプラズマ処理方法。
2 2 . エッチング対象部と、 このエッチング対象部を覆う、 開口パ ターンが形成された有機層とを有する被処理体を処理容器内に配置する 工程と、
前記処理容器内で Hを有する物質を含む処理ガスをプラズマ化し、 前 記有機層にそのプラズマを照射する工程と、
前記処理容器内でエッチングガスをプラズマ化し、 前記開口パターン を通して前記エッチング対象部をエッチングする工程と、
を有するプラズマ処理方法。
2 3 . 請求項 2 2の方法において、 前記 Hを有する物質は H 2であ るプラズマ処理方法。
2 4 . 請求項 2 2の方法において、 前記 Hを有する物質は N H 3であ るプラズマ処理方法。
2 5 . 請求項 2 2の方法において、 前記処理ガスは N 2を含むプラズ マ処理方法。
2 6 . 請求項 2 2の方法において、 前記有機層はマスク層であるブラ ズマ処理方法。
2 7 . 請求項 2 6の方法において、 前記マスク層はフォトレジスト層 であるプラズマ処理方法。
2 8 . 請求項 2 7の方法において、 前記フォトレジスト層は A r Fフ ォトレジストまたは ίΡ 2フォトレジストで構成されるプラズマ処理方法。
29. 請求項 22の方法において、 前記処理ガスと前記エッチングガ スは、 同じガスであるプラズマ処理方法。
30. 請求項 22の方法において、 前記エッチングガスは、 前記処理 ガスに別のガスを添加したガスであるプラズマ処理方法。
3 1. 請求項 22の方法において、 前記エッチング対象部は S i 02 層であるプラズマ処理方法。
32. 請求項 3 1の方法において、 前記エッチングガスは C 5F 8を 含むガスであるプラズマ処理方法。
3 3. 請求項 2 2の方法において、 前記プラズマを照射する工程 は、 圧力が 1 3. 3 P a ( l O OmT o r r) 以下の雰囲気で実施 されるプラズマ処理方法。
34. 請求項 3 3の方法において、 前記プラズマを照射する工程 は、 圧力が 1. 1〜4. 0 P a (8〜 3 OmT o r r ) の雰囲気で実 施されるプラズマ処理方法。
35. 表面に A r Fフォトレジストまたは F 2フォトレジストからな るフォトレジスト層を有する被処理体を準備する工程と、
前記被処理体に対して、 Nを有する物質を含む処理ガスのプラズマを 照射して前記フォトレジスト層の耐プラズマ性を向上させる工程と を有するプラズマ処理方法。
36. 請求項 3 5の方法において、 前記 Nを有する物質は N2である プラズマ処理方法。
37. 請求項 35の方法において、 前記 Nを有する物質は NH3であ るプラズマ処理方法。
38. 請求項 35の方法において、 前記処理ガスは Hを有する物質を 含むプラズマ処理方法。
39. 請求項 38の方法において、 前記 Hを有する物質は、 H2、 C HF3、 CH2F2、 CH3 Fの中から選択される 1以上であるプラズマ 処理方法。
40. エッチング対象部と、 このエッチング対象部を覆う反射防止層 と、 この反射防止層を覆う、 開口パターンが形成された Ar Fフオトレ ジストまたは F 2フォトレジストからなるフォトレジスト層とを有する 被処理体を処理容器内に配置する工程と、
前記処理容器に処理ガスを導入する工程と、
前記処理ガスをブラズマ化する工程と、
そのプラズマを前記被処理体に作用させて、 前記フォトレジスト層の 耐プラズマ性を向上させるとともに、 前記開口パターンを通して前記反 射防止層をエツチングする工程と
を有するプラズマ処理方法。
4 1. 請求項 40の方法において、 前記処理ガスは H2を含むブラ ズマ処理方法。
42. 請求項 4 1の方法において、 前記被処理体は、 前記処理容 器の中に配置されたサセプ夕に載置され、 前記プラズマを前記被処 理体に作用させる工程は、 前記サセプ夕に、 1 0 0MH z以上の周 波数の高周波電力と、 3 MH z以上の周波数の高周波電力とを供給 するプラズマ処理方法。
43. 請求項 42の方法において、 前記 3 MH z以上の周波数の 高周波電力は 1 0 0W以下であるプラズマ処理方法。
44. 請求項 4 1の方法において、 前記処理ガスは H2からなる プラズマ処理方法。
45. 処理容器の中に、 エッチング対象層と、 このエッチング対 象層を覆う反射防止層と、 この反射防止膜層を覆い開口パターンが 形成されたマスク層とを有する被処理体を配置する工程と、 前記処理容器内に H 2を含む処理ガスを導入する工程と、
前記処理ガスをプラズマ化する工程と、
前記プラズマにより、 前記マスク層の開口パターンを通して前記 反射防止層を前記マスク層に対して選択的にエッチングする工程と を有するプラズマ処理方法。
4 6 . 請求項 4 5の方法において、 前記被処理体は、 前記処理容 器の中に配置されたサセプ夕に載置され、 前記エッチング工程は、 前記サセプ夕に、 1 0 0 M H z以上の周波数の高周波電力と、 3 M H z以上の周波数の高周波電力とを重畳させて印加するプラズマ処 理方法。
4 7 . 請求項 4 6の方法において、 前記 3 M H z以上の周波数の 高周波電力は 1 0 0 W以下であるプラズマ処理方法。
4 8 . 請求項 4 5の方法において、 前記マスク層は、 A r Fフォ トレジスト層または F 2フォトレジスト層であるプラズマ処理方法。
4 9 . 請求項 4 5の方法において、 前記処理ガスは H 2からなる プラズマ処理方法。
5 0 . 請求項 4 9の方法において、 前記反射防止層をエッチング する工程の後、 C F 4と H 2をプラズマ化し、 前記マスク層の開ロパタ ーンを通して前記ェツチング対象層を途中までェッチングする工程と、 その途中までエッチングする工程の後、 エッチングガスをプラズマ化し、 前記エッチング対象層の残部をエッチングする工程とをさらに有するプ ラズマ処理方法。
5 1 . 請求項 5 0の方法において、 前記マスク層は、 A r Fフォ トレジスト層または F 2フォトレジスト層であるプラズマ処理方法。
5 2 . 請求項 5 0の方法において、 前記マスク層はメタクリル酸榭脂 からなるプラズマ処理方法。
5 3 . 請求項 5 0の方法において、 前記エッチングガスは C 4と11 2の混合ガスとは別のガスであるプラズマ処理方法。
5 4 . 請求項 5 0の方法において、 前記エッチング対象層は S i 〇2層であり、 前記エッチングガスは C 5 F 8と〇2とを含むガスであ るプラズマ処理方法。
5 5 . エッチング対象層と、 このエッチング対象層を覆う開ロパタ ーンが形成された、 A r Fフォトレジストまたは F 2フォトレジストで 構成されたマスク層とを有する被処理体を載置台に載置する工程と、
C F 4と H 2をプラズマ化し、 前記マスク層の開口パターンを通して 前記エツチング対象層を途中までエッチングする初期エツチング工程と、 この初期エッチング工程の後、 フロロカーボンを含むエッチングガス をプラズマ化し、 前記ェッチング対象層をエツチングする主エッチング 工程と
を有するプラズマ処理方法。
5 6 . 請求項 5 5の方法において、 前記エッチング対象層は S i〇 2層であるプラズマ処理方法。
5 7 . エッチング対象層と、 このエッチング対象層を覆う反射防止 層と、 この反射防止層を覆う開口パターンが形成されたァクリル酸樹脂 からなるマスク層とを有する被処理体を載置台に載置する工程と、
C F 4をプラズマ化し、 前記マスク層の開口パターンを通して前記反 射防止層をエッチングする第 1エッチング工程と、
C F 4と H 2をプラズマ化し、 前記マスク層の開口パターンを通して 前記エツチング対象層を途中までエッチングする第 2エツチング工程と、 この第 2エツチング工程の後、 フロロカーボンを含むェッチングガス をプラズマ化し、 前記エッチング対象層をエッチングする第 3エツチン グ工程と を有するプラズマ処理方法。
58. 請求項 5 7の方法において、 前記エッチング対象層は S i 0 2層であるプラズマ処理方法。
59. 処理容器の中に配置されたサセプ夕に、エッチング対象層と このエツチング対象層を覆い開口が形成されたマスク層とを有する 被処理体を載置する工程と、
前記処理容器内に H2を含む処理ガスを導入する工程と、 前記サセプ夕に、 1 0 0 MH z以上の周波数の高周波電力と、 3
MH z以上の周波数の高周波電力と供給する工程と、
前記処理容器内の圧力を 1 3. 3 P a ( l O OmT o r r) 以下 にする工程と
を有するプラズマ処理方法。
6 0. 請求項 5 9の方法において、 前記 3 MH z以上の周波数の 高周波電力は 1 0 0W以下であるプラズマ処理方法。
6 1. エッチング対象部と、 このエッチング対象部を覆う開ロパタ ーンが形成された、 A r Fフォトレジストまたは F 2フォトレジストか らなるフォトレジスト層とを有する被処理体を処理容器内に配置するェ 程と、
前記処理容器内で Nを有する物質を含む処理ガスをプラズマ化し、 前 記フォ卜レジスト層に照射する工程と、
前記処理容器内でエッチングガスをプラズマ化し、 前記開口パターン を通して前記エッチング対象部をエッチングする工程と
を有するプラズマ処理方法。
6 2. 請求項 6 1の方法において、 前記 Nを有する物質は N2であ るプラズマ処理方法。
6 3. 請求項 62の方法において、 前記処理ガスは H2を含むプラズ マ処理方法。
64. 請求項 6 2の方法において、 前記処理ガスは、 CHF3、 C H2F2、 CH3Fからなる群から選択された 1種以上を含むプラズマ処 理方法。
65. 請求項 6 1の方法において、 前記 Nを有する物質は NH3であ るプラズマ処理方法。
6 6. エッチング対象部と、 このエッチング対象部を覆う反射防止 層と、 この反射防止層を覆う開口パターンが形成された A r Fフオトレ ジストまたは F 2フォトレジス卜からなるフォトレジスト層とを有する 被処理体を処理容器内に配置する工程と、
前記処理容器内で Nを有する物質を含む処理ガスをプラズマ化し、 前 記開口パターンを通して前記反射防止層をエッチングする第 1エツチン グ工程と、
前記処理容器内でエッチングガスをプラズマ化し、 前記開口パターン を通して前記エッチング対象部をエッチングする第 2エッチング工程と を有するプラズマ処理方法。
6 7. 請求項 6 6の方法において、 前記 Nを有する物質は N2であ るプラズマ処理方法。
68. 請求項 67の方法において、 前記処理ガスは H2を含むプラズ マ処理方法。
6 9. 請求項 6 8の方法において、 前記第 1エッチング工程は、 前記処理容器内の圧力を 10 7〜 160 P a (800〜 1200 mTo r r) にして実施されるプラズマ処理方法。
70. 請求項 6 9の方法において、 前記エッチング対象層は S i 02層であり、 前記エッチングガスは C5F8を含むプラズマ処理方法。
7 1. 請求項 70において、 前記 C5F 8は、 1, 1, 1 , 4, 4, 5, 5, 5—ォク夕フルオロー 2—ペンチンであるプラズマ処理方法。
72. 請求項 67の方法において、 前記処理ガスは、 CHF3、 CH 2F2、 CH3Fからなる群から選択された 1種以上を含むプラズマ処理 方法。
7 3. 請求項 6 6の方法において、 前記 Nを有する物質は NH3で あるプラズマ処理方法。
74. 請求項 6 6の方法において、 前記エッチング対象層は S i 02層であり、 前記エッチングガスは C 4 F 6を含むプラズマ処理方法。
7 5. 請求項 6 6の方法において、 前記エッチング対象層は S i 02層であり、 前記エッチングガスは C5F8を含むプラズマ処理方法。
7 6. 請求項 7 5の方法において、 前記 C 5F 8は直鎖 C5F 8である プラズマ処理方法。
7 7. 請求項 7 6の方法において、 前記直鎖 C5F8は、 1 , 1, 1, 4, 4, 5, 5, 5—ォクタフルオロー 2—ペンチンであるプラズ マ処理方法。
7 8. 請求項 7 5の方法において、 前記処理ガスは N2と H2とを 含み、 前記第 1エッチング工程は、 前記処理容器内の圧力を 1 07〜 160 P a (800〜1200mTo r r) にして実施されるプラズマ 処理方法。
7 9. エッチング対象層と、 前記エッチング対象層を覆う開ロパタ ーンが形成された有機マスク層とを有する被処理体を、 S iを含む物質 の露出部を有する構成部材を備えた処理容器内に配置する工程と、 前記処理容器内に H2、 N2および Heからなる群から選択された少 なくとも 1種の処理ガスを導入する工程と、
前記処理ガスをプラズマ化して、 前記有機マスク層をプラズマ処理す る工程と を有するプラズマ処理方法。
8 0 . 請求項 7 9の方法において、 前記プラズマ処理工程の後、 前記エッチング対象層のエッチングを行う工程をさらに有するブラ ズマ処理方法。
8 1 . 請求項 7 9の方法において、 前記有機マスク層は、 有機フ ォトレジスト層であるプラズマ処理方法。
8 2 . 請求項 8 1の方法において、 前記有機フォトレジスト層は、 A r Fフォトレジストまたは F 2フォトレジストからなるプラズマ 処理方法。
8 3 . 請求項 7 9の方法において、 前記 S i を含む物質は単結晶 S iからなるプラズマ処理方法。
8 4 . 請求項 7 9の方法において、 前記 S i を含む物質は S i C からなるプラズマ処理方法。
8 5 . 請求項 7 9の方法において、 前記 S i を含む物質の露出部 を有する構成部材は、 前記処理容器内に設けられた被処理体の対向 電極であるプラズマ処理方法。
8 6 . エッチング対象層と、 前記エッチング対象層を覆う有機膜 と、 前記有機膜を覆う開口パターンが形成された有機マスク層とを 有する被処理体を、 S iを含む物質の露出部を有する構成部材を備 えた処理容器内に配置する工程と、
前記処理容器内にエッチングガスを導入する工程と、
前記エッチングガスをプラズマ化し、 前記有機マスク層の開口パ ターンを通して前記有機膜をエッチングする工程と、
前記処理容器内に H 2、 N 2および H eからなる群から選択された少 なくとも 1種の処理ガスを導入する工程と、
前記処理ガスをプラズマ化して前記有機マスク層をプラズマ処理 する工程と
を有するプラズマ処理方法。
8 7 . 請求項 8 6の方法において、 前記エッチングガスは C F 4 を含むプラズマ処理方法。
8 8 . 請求項 8 6の方法において、 前記プラズマ処理工程の後、 前記エツチング対象層のエツチングを行う工程をさらに有するブラ ズマ処理方法。
8 9 . 請求項 8 6の方法において、 前記有機膜は有機反射防止膜 であるプラズマ処理方法。
9 0 . 請求項 8 6の方法において、 前記有機マスク層は、 有機フ ォトレジス卜層であるプラズマ処理方法。
9 1 . 請求項 9 0の方法において、 前記有機フォトレジスト層は、 A r Fフォトレジストまたは F 2フォトレジス卜からなるプラズマ 処理方法。
9 2 . 請求項 8 6の方法において、 前記 S i を含む物質は単結晶 S iからなるプラズマ処理方法。
9 3 . 請求項 8 6の方法において、 前記 S i を含む物質は S i C からなるプラズマ処理方法。
9 4 . 請求項 8 6の方法において、 前記 S i を含む物質の露出部 を有する構成部材は、 前記処理容器内に設けられた被処理体の対向 電極であるプラズマ処理方法。
9 5 . エッチング対象層と、 前記エッチング対象層を覆う有機膜 と、 前記有機膜を覆う開口パターンが形成された有機マスク層とを 有する被処理体を、 S iを含む物質の露出部を有する構成部材を備 えた処理容器内に配置する工程と、
前記処理容器内に H 2を導入する工程と、 導入された H2をプラズマ化し、 前記有機マスク層の開ロパタ一 ンを通して前記有機膜をエッチングする工程と
を有するプラズマ処理方法。
9 6. 請求項 9 5において、 前記有機膜をエッチングする工程の 後、 前記エッチング対象層のエッチングを行う工程をさらに有する プラズマ処理方法。
9 7. 請求項 9 5の方法において、 前記有機膜は有機反射防止膜 であるプラズマ処理方法。
9 8. 請求項 9 5の方法において、 前記有機マスク層は、 有機フ オトレジスト層であるプラズマ処理方法。
9 9. 請求項 9 8の方法において、 前記有機フォトレジスト層は、 A r Fフォトレジストまたは F 2フォトレジス卜からなるプラズマ 処理方法。
1 0 0. 請求項 9 5の方法において、 前記 S i を含む物質は単結 晶 S iからなるプラズマ処理方法。
1 0 1. 請求項 9 5の方法において、 前記 S i を含む物質は S i Cからなるプラズマ処理方法。
1 0 2. 請求項 9 5の方法において、 前記 S iを含む物質の露出 部を有する構成部材は、 前記処理容器内に設けられた被処理体の対 向電極であるプラズマ処理方法。
1 0 3. エッチング対象層と、 このエッチング対象層を覆う開口パ ターンが形成された A r Fフォトレジス卜または F 2フォトレジストか らなるフォトレジス卜層とを有する被処理体を処理容器内に配置するェ 程と、
前記被処理体を収容した処理容器内に C 2 F 4を含む処理ガスを導入 する工程と、 前記処理ガスをプラズマ化する工程と、
前記処理ガスのプラズマにより、 前記被処理体中のエツチング対象層 を、 前記フォトレジスト層の開口パターンを通してエッチングする工程 と
を有するプラズマ処理方法。
1 04. 請求項 103の方法において、 前記エッチング対象層は炭素 含有層であるプラズマ処理方法。
1 05. 請求項 1 03の方法において、 前記エッチング対象層は有機 層であるプラズマ処理方法。
1 0 6. エッチング対象層と、 このエッチング対象層を覆う開口パ ターンが形成されたマスク層とを有する被処理体を処理容器内に配置す る工程と、
前記被処理体を収容した処理容器内に C 2 F 4と 02と含む処理ガスを 導入する工程と、
前記処理ガスをプラズマ化する工程と、
前記処理ガスのプラズマにより、 前記被処理体中のエツチング対象層 を、 前記マスク層の開口パターンを通してエッチングする工程と を有するプラズマ処理方法。
1 0 7. 請求項 1 0 6の方法において、 前記マスク層は、 フオトレ ジスト層であるプラズマ処理方法。
108. 請求項 107の方法において、 前記エッチング対象層は、 反 射防止層であるプラズマ処理方法。
1 0 9. 請求項 1 0 7の方法において、 前記フォトレジスト層は、 A r Fフォトレジストまたは F 2フォトレジス卜からなるプラズマ処理 方法。
1 1 0. 請求項 1 0 6の方法において、 前記エッチング対象層は、 炭素含有層であるプラズマ処理方法。
1 1 1. 請求項 106の方法において、 前記エッチング対象層は、 有 機層であるプラズマ処理方法。
1 12. エッチング対象部と、 このエッチング対象部を覆う反射防止 層と、 この反射防止層を覆う開口パターンが形成された A r Fフオトレ ジストまたは F 2フォトレジストからなるフォトレジスト層とを有する 被処理体を処理容器内に配置する工程と、
前記処理容器内で Cと Fとを有する物質と Hを有する物質とを含むェ ツチングガスをプラズマ化し、 前記開口パターンを介して前記反射防止 層をエッチングする工程と、
前記エッチング対象部をエッチングする工程と
を有するプラズマ処理方法。
1 13. 請求項 1 1 2の方法において、 前記 Hを有する物質はハイド 口カーボンであるプラズマ処理方法。
1 14. 請求項 1 1 3の方法において、 前記ハイドロカ一ボンは CH 4であるプラズマ処理方法。
1 1 5. 請求項 1 1 2の方法において、 前記 Hを有する物質は H2で あるプラズマ処理方法。
1 16. 請求項 1 1 2の方法において、 前記 Hを有する物質はハイド 口フルォロカーボンであるプラズマ処理方法。
1 17. 請求項 1 1 6の方法において、 前記ハイド口フルォロカーボ ンは Fの原子数に対する Hの原子数の比が 3以上であるプラズマ処理方 法。
1 18. 請求項 1 1 7の方法において、 前記ハイド口フルォロカーボ ンは CH3Fであるプラズマ処理方法。
1 19. 請求項 1 1 8の方法において、 前記エッチングガス中の前記 Cと Fとを有する物質の流量に対する前記 CH3Fの流量の比は 0. 0 4〜0. 07であるプラズマ処理方法。
120. 請求項 1 12の方法において、 前記 Cと Fとを有する物質は C F4であるプラズマ処理方法。
121. エッチング対象部と、 このエッチング対象部を覆う反射防止 層と、 この反射防止層を覆う開口パターンが形成されたマスク層とを有 する被処理体を処理容器内に配置する工程と、
前記処理容器内で Cと Fとを有する物質とハイドロカーボンとを含む エッチングガスをプラズマ化し、 前記開口パターンを介して前記反射防 止層をエッチングする工程と、
前記エッチング対象部をエッチングする工程と
を有するプラズマ処理方法。
122. 請求項 12 1の方法において、 前記ハイドロカ一ボンは CH 4であるプラズマ処理方法。
123. 請求項 12 1の方法において、 前記 Cと Fとを有する物質は C F 4であるプラズマ処理方法。
1 24. 請求項 12 1の方法において、 前記マスク層は、 Ar Fフォ トレジスト層または F 2フォトレジス卜層であるプラズマ処理方法。
125. エッチング対象部と、 このエッチング対象部を覆う反射防止 層と、 この反射防止層を覆う開口パターンが形成されたマスク層とを有 する被処理体を処理容器内に配置する工程と、
前記処理容器内で Cと Fとを有する物質と Cと Hと Fとを有し Fの原 子数に対する Hの原子数の比が 3以上の物質とを含むエッチングガスを プラズマ化し、 前記開口パターンを介して前記反射防止層を, する工程と、
前記エツチング対象部をエッチングする工程と を有するプラズマ処理方法。
126. 請求項 125の方法において、 前記 Cと Hと Fとを有し Fの 原子数に対する Hの原子数の比が 3以上の物質は CH3Fであるプラズ マ処理方法。
127. 請求項 12 5の方法において、 前記 Cと Fとを有する物質は C F 4であるプラズマ処理方法。
128. 請求項 127の方法において、 前記エッチングガス中の Cと Fとを有する物質の流量に対する前記 CH3Fの流量の比は 0. 04〜 0. 07であるプラズマ処理方法。
129. 請求項 1 25の方法において、 前記マスク層は、 Ar Fフォ トレジスト層または F 2フォトレジスト層であるプラズマ処理方法。
1 30. エッチング対象部と、 このエッチング対象部を覆う開ロパタ ーンが形成された、 A r Fフォトレジストまたは F 2フォトレジストか らなるフォトレジスト層とを有する被処理体を処理容器内に配置するェ 程と、
前記処理容器内で Cと Fとを有する物質と C Oとを含む処理ガスをプ ラズマ化し、 そのプラズマを前記フォトレジスト層に照射する工程と、 前記処理容器内でエッチングガスをプラズマ化し、 そのプラズマによ り前記開口パターンを介して前記エッチング対象部をエッチングするェ 程と
を有するプラズマ処理方法。
1 3 1. 請求項 1 30の方法において、 前記 Cと Fとを有する物質 は C F 4であるブラズマ処理方法。
1 32. 請求項 1 30の方法において、 前記処理ガスと前記エッチ ングガスは同じガスであるプラズマ処理方法。
133. 請求項 1 32の方法において、 前記エッチング対象部は反射 防止層であるプラズマ処理方法。
1 3 4 . エッチング対象部と、 このエッチング対象部を覆う反射防止 層と、 この反射防止層を覆う開口パターンが形成された、 A r Fフォト レジストまたは F 2フォトレジストからなるフォトレジスト層とを有す る被処理体を処理容器内に配置する工程と、
前記処理容器内で Cと Fとを有する物質と C Oとを含む第 1エツチン グガスをプラズマ化し、 そのプラズマにより前記開口パターンを介して 前記反射防止層をエッチングする第 1エツチング工程と、
前記処理容器内で第 2エッチングガスをプラズマ化し、 そのプラズマ により前記開ロパタ一ンを介して前記ェッチング対象部をエツチングす る第 2エッチング工程と
を有するプラズマ処理方法。
1 3 5 . 請求項 1 3 4の方法において、 前記 Cと Fとを有する物質は C F 4であるプラズマ処理方法。
1 3 6 . 請求項 1 3 4の方法において、 前記エッチング対象部は S i O 2層であり、 前記第 2エッチングガスは C 5 F 8を含むプラズマ処理方 法。
1 3 7 . 請求項 1 3 4の方法において、 前記エッチング対象部は S i〇2層であり、 前記第 2エッチングガスは C 4 F 6を含むプラズマ処 理方法。
1 3 8 . エッチング対象部と、 エッチング対象部を覆う反射防止層 と、 この反射防止層を覆う開口パターンが形成されたマスク層とを有す る被処理体を処理容器内に配置する工程と、
前記処理容器内で C F 4と C Oとを含む第 1エッチングガスをブラズ マ化し、 そのプラズマにより前記開口パターンを介して前記反射防止層 をエッチングする第 1エッチング工程と、 前記処理容器内で第 2エッチングガスをプラズマ化し、 そのプラズマ により前記開口パターンを介して前記エッチング対象部をエッチングす る第 2エッチング工程と
を有するプラズマ処理方法。
1 3 9. 請求項 1 3 8の方法において、 前記エッチング対象部は S i〇2層であり、 前記第 2エッチングガスは C4F6を含むプラズマ処 理方法。
140. 請求項 1 3 9の方法において、 前記エッチング対象部は S i 02層であり、 前記第 2エッチングガスは C5F8を含むプラズマ処理 方法。
1 4 1. エッチング対象層と、 このエッチング対象層を覆う有機反 射防止層と、 この有機反射防止層を覆う開口パターンが形成された A r Fフォ卜レジストまたは F 2フォ卜レジス卜からなるフォ卜レジス卜層 とを有する被処理体を処理容器内に配置する工程と、
この処理容器内に S iを含む物質を有するエッチングガスを導入する 工程と、
このエッチングガスをプラズマ化し、 前記フォトレジスト層の開口パ ターンを通して有機反射防止層をエッチングする工程と
を有するプラズマ処理方法。
142. 請求項 1 41の方法において、 前記 S iを含む物質は、 S i F4であるプラズマ処理方法。
143. 請求項 142の方法において、 前記エッチングガスは、 CH F3を含有するプラズマ処理方法。
144. 請求項 142の方法において、 前記エッチングガスは、 HB rを含有するプラズマ処理方法。
145. 請求項 142の方法において、 前記エッチングガスは、 He を含有するプラズマ処理方法。
1 4 6 . 請求項 1 4 2の方法において、 前記エッチングガスは、 H 2 を含有するプラズマ処理方法。
1 4 7 . 請求項 1 4 1の方法において、 前記有機反射防止層をエツ チングする工程の後に、 さらに、 前記 A r Fフォトレジスト層の開口パ ターンを通して前記エッチング対象層をプラズマエッチングする工程を 有するプラズマ処理方法。
1 4 8 . 処理容器の中にあるサセプ夕に、 エッチング対象層とこのェ ッチング対象層を覆い開口が形成されたマスク層とを有する被処理体を 載置する工程と、
前記処理容器内に前記被処理体と表面の少なくとも一部が S iである 部材とが存在する下で前記処理容器の中に不活性ガスを入れる工程と、 前記不活性ガスの少なくとも一部をイオン化する高周波エネルギーを 前記処理容器の中に与える工程と、
前記処理容器の中にエッチングガスを導入する工程と、
そのエッチングガスをプラズマ化する工程と、
前記ェッチングガスのプラズマにより、 前記処理容器の中で前記マス ク層の開口パターンを通して前記エッチング対象層をエッチングするェ 程と
を有するプラズマ処理方法。
1 4 9 . 請求項 1 4 8の方法において、 前記マスク層は、 A r Fフォ トレジスト層または F 2フォトレジスト層であるプラズマ処理方法。
1 5 0 . 請求項 1 4 8の方法において、 前記表面の少なくとも一部が S iである部材は、 前記被処理体の周りにあるフォーカスリングである プラズマ処理方法。
1 5 1 . 請求項 1 4 8の方法において、 前記表面の少なくとも一部が S iである部材は、 前記エッチングガスを前記処理容器内に導入するシ ャヮ一へッドであるプラズマ処理方法。
1 52. 請求項 148の方法において、 前記エッチング対象層は S i 酸化物であり、 前記エッチングガスは、 C4F6、 C4F8および C5F8 からなる群から選ばれる少なくとも 1つを含むプラズマ処理方法。
1 53. 請求項 148の方法において、 前記エッチング工程の後に、 マスク層を多段階でプラズマ除去する工程をさらに有するプラズマ処理 方法。
1 54. 請求項 1 53の方法において、 前記マスク層を多段階でブラ ズマ除去する工程は、フッ素化合物を含むガスのプラズマでマスク層の 一部を除去する第 1除去工程と、 フッ素化合物を含まないガスのプラズ マで第 1除去工程で残されたマスク層の少なくとも一部を除去する第 2 除去工程を有するプラズマ処理方法。
1 55. 請求項 1 54の方法において、 前記マスク層は A r Fフォト レジスト層であり、 前記第 1除去工程で用いるガスは CF4であるブラ ズマ処理方法
1 56. 請求項 148の方法において、 前記エネルギーを前記処理容 器内に導入する工程は、 前記処理容器の外に設けられたアンテナに高周 波電力を印加することを含むプラズマ処理方法。
1 57. 請求項 148の方法において、 前記エネルギーを前記処理容 器内に導入する工程は、 前記処理容器内に設けられた前記サセプ夕の対 向電極に高周波電力を印加することを含むプラズマ処理方法。
1 58. 処理容器の中にあるサセプ夕に、 エッチング対象層とこのェ ッチング対象層を覆い開口パターンが形成されたマスク層とを有する被 処理体を載置する工程と、
前記処理容器内で前記マスク層表面に S i含有層を形成する工程と、 前記処理容器内にエッチングガスを導入する工程と、 前記ェツチングガスをプラズマ化する工程と、
前記処理容器の中で、 前記エッチングガスのプラズマにより、 前記マ スク層の開口パターンを通して前記エッチング対象層をエッチングする 工程と
を有するプラズマ処理方法。
1 59. 請求項 1 58の方法において、 前記プラズマエッチングする 工程の後に、 マスク層を多段階でプラズマ除去する工程をさらに有する プラズマ処理方法。
160. 請求項 1 59の方法において、 前記マスク層を多段階でブラ ズマ除去する工程は、 フッ素化合物を含むガスのプラズマでマスク層の 一部を除去する第 1除去工程と、 フッ素化合物を含まないガスのプラズ マで第 1除去工程で残されたマスク層の少なくとも一部を除去する第 2 除去工程を有する。
161. 請求項 1 60の方法において、 前記マスク層は A r Fフォト レジス卜層または F 2フォトレジスト層であり、 前記第 1除去工程で用 いるガスは CF4であるプラズマ処理方法。
162. 請求項 1 58の方法において、 前記マスク層は A r Fフォト レジスト層または F 2フォトレジスト層であるプラズマ処理方法。
163. 請求項 1 58の方法において、 前記エッチング対象層は S i 酸化物であり、 前記エッチングガスは C4F6、 C4F8、 C5F8の中か ら選ばれる少なくとも 1つを含むプラズマ処理方法。
164. 請求項 1 58の方法において、 前記 S i含有層を形成するェ 程は、 PVD法により実施されるプラズマ処理方法。
165. 請求項 1 58の方法において、 前記 S i含有層を形成するェ 程は、 CVD法により実施されるプラズマ処理方法。
1 6 6 . 表面の少なくとも一部が S iである部材と、 第 1電極と、 こ の第 1電極と対向位置にある第 2電極とが内部に設けられた処理容器を 準備する工程と、
前記処理容器内の前記第 1電極に、 エツチング対象層とこのエツチン グ対象層を覆い開口パターンが形成されたマスク層とを有する被処理体 を載置する工程と、
前記処理容器内に不活性ガスを導入する工程と、
前記第 1電極に高周波電力を印加する工程と、
前記第 2電極に高周波電力を印加する工程と、
前記処理容器の中にエッチングガスを導入する工程と、
前記処理容器の中で、 前記高周波電力によりプラズマ化されたエッチ ングガスにより、 前記マスク層の開口パターンを通して前記エッチング 対象層をエッチングする工程と
を有するプラズマ処理方法。
1 6 7 . 請求項 1 6 6の方法において、 前記表面の少なくとも一部が S iである部材は、前記第 2電極の電極板であるプラズマ処理方法。
1 6 8 . 請求項 1 6 6の方法において、 前記プラズマエッチングする 工程の後に、 マスク層を多段階でプラズマ除去する工程をさらに有する プラズマ処理方法。
1 6 9 . 処理容器の中にあるサセプ夕に、エッチング対象層とこのェ ツチング対象層を覆い開口パターンが形成された A r Fフォトレジスト または F 2フォトレジストからなるフォトレジスト層とを有する被処理 体を載置する工程と、
前記処理容器の中に S i化合物を含むェッチングガスを導入する工程 と、
前記ェツチングガスをブラズマ化する工程と、 前記処理容器の中で、 前記エッチングガスのプラズマにより、 前記フ ォトレジスト層の開口パターンを通して前記エッチング対象層をエッチ ングする工程と
を有するプラズマ処理方法。
1 7 0 . 請求項 1 6 9の方法において、 前記 S i化合物は、 S i F 4 であるプラズマ処理方法。
PCT/JP2003/007960 2002-06-27 2003-06-24 プラズマ処理方法 WO2004003988A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004548902A JPWO2004003988A1 (ja) 2002-06-27 2003-06-24 プラズマ処理方法
AU2003244166A AU2003244166A1 (en) 2002-06-27 2003-06-24 Plasma processing method

Applications Claiming Priority (18)

Application Number Priority Date Filing Date Title
JP2002-187422 2002-06-27
JP2002187422 2002-06-27
JP2002-214628 2002-07-24
JP2002214628 2002-07-24
JP2002-271588 2002-09-18
JP2002-271589 2002-09-18
JP2002271589 2002-09-18
JP2002271588 2002-09-18
US42078802P 2002-10-24 2002-10-24
US60/420,788 2002-10-24
US42356602P 2002-11-05 2002-11-05
US60/423,566 2002-11-05
JP2003-003540 2003-01-09
JP2003003540 2003-01-09
JP2003110225 2003-04-15
JP2003-110225 2003-04-15
JP2003-151416 2003-05-28
JP2003151416 2003-05-28

Publications (1)

Publication Number Publication Date
WO2004003988A1 true WO2004003988A1 (ja) 2004-01-08

Family

ID=30004139

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/007960 WO2004003988A1 (ja) 2002-06-27 2003-06-24 プラズマ処理方法

Country Status (5)

Country Link
JP (1) JP5008691B2 (ja)
CN (1) CN100440449C (ja)
AU (1) AU2003244166A1 (ja)
TW (1) TWI265569B (ja)
WO (1) WO2004003988A1 (ja)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005251814A (ja) * 2004-03-02 2005-09-15 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法及びその装置
WO2005088693A1 (en) * 2004-03-10 2005-09-22 Lam Research Corporation Line edge roughness control
WO2006019849A1 (en) * 2004-07-16 2006-02-23 Lam Research Corporation Low-k dielectric etch
JP2006100628A (ja) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp プラズマ処理方法
JP2007005464A (ja) * 2005-06-22 2007-01-11 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム、及びコンピュータ記憶媒体
WO2007052534A1 (ja) * 2005-10-31 2007-05-10 Tokyo Electron Limited エッチング方法及びエッチング装置
JP2007535817A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
JP2007317889A (ja) * 2006-05-25 2007-12-06 Tokyo Electron Ltd エッチング方法
JP2008518463A (ja) * 2004-10-27 2008-05-29 ラム リサーチ コーポレーション 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法
JP2008198988A (ja) * 2007-01-16 2008-08-28 Hitachi High-Technologies Corp プラズマ処理方法
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP2010155376A (ja) * 2008-12-26 2010-07-15 Fujifilm Corp 撥液膜形成方法、ノズルプレート、インクジェットヘッド、及び電子機器
KR20110027597A (ko) 2009-09-08 2011-03-16 도쿄엘렉트론가부시키가이샤 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP2012204668A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体
WO2014024833A1 (ja) * 2012-08-09 2014-02-13 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
WO2014042192A1 (ja) * 2012-09-13 2014-03-20 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
JP2015115410A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 エッチング方法
JP2016207772A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 有機膜をエッチングする方法
US9583361B2 (en) 2012-09-13 2017-02-28 Tokyo Electron Limited Method of processing target object and plasma processing apparatus
JP2019110275A (ja) * 2017-12-20 2019-07-04 東芝メモリ株式会社 半導体装置の製造方法
JP2020077659A (ja) * 2018-11-05 2020-05-21 東京エレクトロン株式会社 被処理体の処理方法及びプラズマ処理装置
JP2020119918A (ja) * 2019-01-18 2020-08-06 東京エレクトロン株式会社 膜をエッチングする方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
CN102089867B (zh) * 2008-07-11 2013-11-27 东京毅力科创株式会社 等离子体处理装置
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
CN102041508B (zh) * 2009-10-23 2012-07-25 中芯国际集成电路制造(上海)有限公司 刻蚀沟槽的方法
JP5781808B2 (ja) * 2010-03-31 2015-09-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP5809396B2 (ja) * 2010-06-24 2015-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2012028431A (ja) * 2010-07-21 2012-02-09 Toshiba Corp 半導体装置の製造方法
JP5142236B1 (ja) 2011-11-15 2013-02-13 エルシード株式会社 エッチング方法
KR20130063871A (ko) * 2011-12-07 2013-06-17 삼성전자주식회사 자기 소자 및 그 제조 방법
JP6050944B2 (ja) * 2012-04-05 2016-12-21 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
CN103377885B (zh) * 2012-04-27 2016-03-16 南亚科技股份有限公司 形成开口的方法
JP6008608B2 (ja) * 2012-06-25 2016-10-19 東京エレクトロン株式会社 レジストマスクの処理方法
KR102148336B1 (ko) * 2013-11-26 2020-08-27 삼성전자주식회사 표면 처리 방법, 반도체 제조 방법 및 이에 의해 제조된 반도체 장치
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2016027658A (ja) * 2015-09-07 2016-02-18 エルシード株式会社 エッチング方法
JP2017092376A (ja) 2015-11-16 2017-05-25 東京エレクトロン株式会社 エッチング方法
JP6643875B2 (ja) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
US9508556B1 (en) * 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device
CN109994379B (zh) * 2017-12-29 2021-10-19 长鑫存储技术有限公司 双重图形化方法及双重图形化结构
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04151668A (ja) * 1990-10-15 1992-05-25 Mitsubishi Electric Corp パターン形成方法
JPH06232098A (ja) * 1993-02-05 1994-08-19 Sony Corp 酸化防止方法およびドライエッチング方法
JPH07106310A (ja) * 1993-09-29 1995-04-21 Victor Co Of Japan Ltd ドライエッチング方法
JPH0855791A (ja) * 1991-12-30 1996-02-27 Sony Corp レジストパターン形成方法および反射防止膜形成方法
US5677242A (en) * 1995-01-13 1997-10-14 Nec Corporation Process of fabricating semiconductor integrated circuit device having small geometry contact by using spacer on photoresist mask
JPH09306893A (ja) * 1996-05-15 1997-11-28 Tokyo Ohka Kogyo Co Ltd 反射防止膜の除去方法
JPH10261628A (ja) * 1996-10-24 1998-09-29 Hyundai Electron Ind Co Ltd 半導体素子のコンタクトホール製造方法
JPH10268526A (ja) * 1997-03-24 1998-10-09 Toshiba Corp 半導体装置の製造方法およびパターン形成方法
WO1999030357A1 (en) * 1997-12-08 1999-06-17 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2001244245A (ja) * 2000-02-25 2001-09-07 Hitachi Ltd 試料の表面処理装置及び表面処理方法
JP2002043590A (ja) * 2000-07-24 2002-02-08 Fuji Electric Co Ltd 半導体装置およびその製造方法
US20020058390A1 (en) * 2000-09-25 2002-05-16 Shinichi Imai Semiconductor device and method for fabricating the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04184916A (ja) * 1990-11-20 1992-07-01 Fujitsu Ltd レジストマスクの形成方法及びドライエッチング方法
JPH06163479A (ja) * 1992-11-17 1994-06-10 Sony Corp ドライエッチング方法
US5888413A (en) * 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
JPH10233386A (ja) * 1997-02-19 1998-09-02 Sony Corp ドライエッチング方法
JP2001110784A (ja) * 1999-10-12 2001-04-20 Hitachi Ltd プラズマ処理装置および処理方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04151668A (ja) * 1990-10-15 1992-05-25 Mitsubishi Electric Corp パターン形成方法
JPH0855791A (ja) * 1991-12-30 1996-02-27 Sony Corp レジストパターン形成方法および反射防止膜形成方法
JPH06232098A (ja) * 1993-02-05 1994-08-19 Sony Corp 酸化防止方法およびドライエッチング方法
JPH07106310A (ja) * 1993-09-29 1995-04-21 Victor Co Of Japan Ltd ドライエッチング方法
US5677242A (en) * 1995-01-13 1997-10-14 Nec Corporation Process of fabricating semiconductor integrated circuit device having small geometry contact by using spacer on photoresist mask
JPH09306893A (ja) * 1996-05-15 1997-11-28 Tokyo Ohka Kogyo Co Ltd 反射防止膜の除去方法
JPH10261628A (ja) * 1996-10-24 1998-09-29 Hyundai Electron Ind Co Ltd 半導体素子のコンタクトホール製造方法
JPH10268526A (ja) * 1997-03-24 1998-10-09 Toshiba Corp 半導体装置の製造方法およびパターン形成方法
WO1999030357A1 (en) * 1997-12-08 1999-06-17 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
JP2000269198A (ja) * 1999-03-19 2000-09-29 Toshiba Corp プラズマ処理方法及びプラズマ処理装置
JP2001244245A (ja) * 2000-02-25 2001-09-07 Hitachi Ltd 試料の表面処理装置及び表面処理方法
JP2002043590A (ja) * 2000-07-24 2002-02-08 Fuji Electric Co Ltd 半導体装置およびその製造方法
US20020058390A1 (en) * 2000-09-25 2002-05-16 Shinichi Imai Semiconductor device and method for fabricating the same

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
JP2005251814A (ja) * 2004-03-02 2005-09-15 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法及びその装置
JP4643916B2 (ja) * 2004-03-02 2011-03-02 株式会社アルバック 層間絶縁膜のドライエッチング方法及びその装置
WO2005088693A1 (en) * 2004-03-10 2005-09-22 Lam Research Corporation Line edge roughness control
JP2007528610A (ja) * 2004-03-10 2007-10-11 ラム リサーチ コーポレーション ラインエッジラフネス制御
JP2007535817A (ja) * 2004-04-30 2007-12-06 ラム リサーチ コーポレーション シャワーヘッド電極及びヒータを備えるプラズマ処理用の装置
WO2006019849A1 (en) * 2004-07-16 2006-02-23 Lam Research Corporation Low-k dielectric etch
JP2006100628A (ja) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp プラズマ処理方法
JP4537818B2 (ja) * 2004-09-30 2010-09-08 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2008518463A (ja) * 2004-10-27 2008-05-29 ラム リサーチ コーポレーション 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法
KR101335137B1 (ko) * 2004-10-27 2013-12-09 램 리써치 코포레이션 수소 유량 램핑으로 포토레지스트 플라즈마를 컨디셔닝하는 단계를 포함하는 에칭 방법
JP4602171B2 (ja) * 2005-06-22 2010-12-22 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム、及びコンピュータ記憶媒体
JP2007005464A (ja) * 2005-06-22 2007-01-11 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム、及びコンピュータ記憶媒体
KR100967458B1 (ko) * 2005-10-31 2010-07-01 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
TWI425565B (zh) * 2005-10-31 2014-02-01 Tokyo Electron Ltd Etching apparatus and etching method
WO2007052534A1 (ja) * 2005-10-31 2007-05-10 Tokyo Electron Limited エッチング方法及びエッチング装置
JP2007317889A (ja) * 2006-05-25 2007-12-06 Tokyo Electron Ltd エッチング方法
US8497213B2 (en) 2007-01-16 2013-07-30 Hitachi High-Technologies Corporation Plasma processing method
JP2008198988A (ja) * 2007-01-16 2008-08-28 Hitachi High-Technologies Corp プラズマ処理方法
JP2010155376A (ja) * 2008-12-26 2010-07-15 Fujifilm Corp 撥液膜形成方法、ノズルプレート、インクジェットヘッド、及び電子機器
US8759227B2 (en) 2009-09-08 2014-06-24 Tokyo Electron Limited Method for processing a target object
KR20110027597A (ko) 2009-09-08 2011-03-16 도쿄엘렉트론가부시키가이샤 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP2011060916A (ja) * 2009-09-08 2011-03-24 Tokyo Electron Ltd 被処理体の処理方法およびコンピュータ読み取り可能な記憶媒体
TWI550707B (zh) * 2009-09-08 2016-09-21 Tokyo Electron Ltd The processing method of the object to be processed, and the computer-readable memory medium
JP2012204668A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体
JP2014036139A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
US9349574B2 (en) 2012-08-09 2016-05-24 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
TWI588890B (zh) * 2012-08-09 2017-06-21 Tokyo Electron Ltd 電漿蝕刻方法及電漿蝕刻裝置
WO2014024833A1 (ja) * 2012-08-09 2014-02-13 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
WO2014042192A1 (ja) * 2012-09-13 2014-03-20 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
US9583361B2 (en) 2012-09-13 2017-02-28 Tokyo Electron Limited Method of processing target object and plasma processing apparatus
JP2015115410A (ja) * 2013-12-10 2015-06-22 東京エレクトロン株式会社 エッチング方法
JP2016207772A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 有機膜をエッチングする方法
JP2019110275A (ja) * 2017-12-20 2019-07-04 東芝メモリ株式会社 半導体装置の製造方法
US11437232B2 (en) 2017-12-20 2022-09-06 Kioxia Corporation Method of manufacturing semiconductor device
JP7137927B2 (ja) 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
JP2020077659A (ja) * 2018-11-05 2020-05-21 東京エレクトロン株式会社 被処理体の処理方法及びプラズマ処理装置
JP7175162B2 (ja) 2018-11-05 2022-11-18 東京エレクトロン株式会社 被処理体のプラズマエッチング方法及びプラズマエッチング装置
US11610766B2 (en) 2018-11-05 2023-03-21 Tokyo Electron Limited Target object processing method and plasma processing apparatus
TWI829787B (zh) * 2018-11-05 2024-01-21 日商東京威力科創股份有限公司 被處理體之電漿蝕刻方法及電漿蝕刻裝置
JP2020119918A (ja) * 2019-01-18 2020-08-06 東京エレクトロン株式会社 膜をエッチングする方法
JP7174634B2 (ja) 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法

Also Published As

Publication number Publication date
TWI265569B (en) 2006-11-01
CN1663030A (zh) 2005-08-31
AU2003244166A1 (en) 2004-01-19
JP2009164626A (ja) 2009-07-23
JP5008691B2 (ja) 2012-08-22
CN100440449C (zh) 2008-12-03
TW200401365A (en) 2004-01-16

Similar Documents

Publication Publication Date Title
WO2004003988A1 (ja) プラズマ処理方法
US7473377B2 (en) Plasma processing method
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US7977390B2 (en) Method for plasma etching performance enhancement
US7371690B2 (en) Dry etching method and apparatus
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
US6833325B2 (en) Method for plasma etching performance enhancement
Standaert et al. Patterning of fluorine-, hydrogen-, and carbon-containing SiO 2-like low dielectric constant materials in high-density fluorocarbon plasmas: comparison with SiO 2
US7601246B2 (en) Methods of sputtering a protective coating on a semiconductor substrate
JP2004528711A (ja) 有機反射防止膜をプラズマエッチングする方法
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
US10763123B2 (en) Method for processing workpiece
JP2001526463A (ja) 基板から有機反射防止皮膜をエッチングするためのシステムと方法
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
TW201626434A (zh) 被處理體之處理方法
CN108885991B (zh) 对被处理物进行处理的方法
US11823903B2 (en) Method for processing workpiece
Joubert et al. Analyses of the chemical topography of silicon dioxide contact holes etched in a high density plasma source
JP2023549608A (ja) 極紫外線(euv)レジストパターニング現像のための方法
Hua et al. Plasma-surface interactions of nanoporous silica during plasma-based pattern transfer using C 4 F 8 and C 4 F 8∕ Ar gas mixtures
US20020011462A1 (en) Method of processing organic antireflection layers
US9384979B2 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
JPWO2004003988A1 (ja) プラズマ処理方法
WO2003081656A1 (fr) Procede de gravure par plasma
Chu et al. Effect of carbon enrichment induced by photoresist on highly selective SiO 2 etching

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004548902

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003815028X

Country of ref document: CN

122 Ep: pct application non-entry in european phase