KR20110027597A - 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체 - Google Patents

피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체 Download PDF

Info

Publication number
KR20110027597A
KR20110027597A KR1020100087628A KR20100087628A KR20110027597A KR 20110027597 A KR20110027597 A KR 20110027597A KR 1020100087628 A KR1020100087628 A KR 1020100087628A KR 20100087628 A KR20100087628 A KR 20100087628A KR 20110027597 A KR20110027597 A KR 20110027597A
Authority
KR
South Korea
Prior art keywords
processing
gas
photoresist layer
electrode
organic film
Prior art date
Application number
KR1020100087628A
Other languages
English (en)
Other versions
KR101682724B1 (ko
Inventor
가즈키 나리시게
가즈오 시게타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110027597A publication Critical patent/KR20110027597A/ko
Application granted granted Critical
Publication of KR101682724B1 publication Critical patent/KR101682724B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 포토 레지스트층의 높이의 감소를 더욱 강력하게 억제할 수 있는 피처리체의 처리 방법을 제공한다. 피처리체 W가, 유기막과, 이 유기막 상에 형성된 포토 레지스트층을 구비하고, 처리 가스로서 수소를 포함하는 처리 가스를 이용하고, 제 1 전극(5)에 직류 부전압을 인가하면서, 포토 레지스트층을 마스크로 이용하여, 유기막을, 수소를 포함하는 플라즈마에 의해 에칭한다.

Description

피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체 {METHOD FOR PROCESSING A TARGET OBJECT AND COMPUTER READABLE STORAGE MEDIUM}
본 발명은 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체에 관한 것이다.
에칭 대상층을 플라즈마 에칭할 때에는 포토 레지스트 등의 레지스트 마스크가 이용되고 있다. 특히, 최근에는 미세 가공의 요청에 따라 약 0. 13㎛ 이하의 개구 패턴을 형성하는데 적합한 ArF 포토 레지스트나 F2 포토 레지스트, 즉, ArF 가스나 F2 가스를 발광원으로 한 레이저광으로 노광하는 포토 레지스트가 흔히 사용되고 있다.
그러나, ArF 포토 레지스트층이나 F2 포토 레지스트층은 내(耐)플라즈마성이 낮기 때문에, 에칭 도중에 포토 레지스트층의 표면이 거칠어져 버리는 문제가 있다. 포토 레지스트층의 표면이 거칠어져 버림으로써, 에칭의 진행과 함께 개구부의 형상이 변화하여, 설계된 형상대로 에칭 구멍이나 에칭 홈을 형성할 수 없게 되어 버린다.
포토 레지스트층의 내플라즈마성을 향상시키는 방법으로서는 포토 레지스트층 표면에 자외선, 전자선이나 이온 빔을 조사하는 방법(특허문헌 1∼3), 포토 레지스트를 가열 경화하는 방법(특허문헌 4)이나 유기 Si 화합물에 열이나 광의 에너지를 부여해서 얇은 경화층을 포토 레지스트층 표면에 코팅하는 방법(특허문헌 5)이 알려져 있다.
그러나, 상기의 포토 레지스트층의 내플라즈마성을 향상시키는 방법에서는 후속하는 에칭 공정에서 사용하는 용기와는 별도의 용기내에서 내플라즈마성의 향상 처리를 실행하지 않으면 안 된다. 포토 레지스트층의 내플라즈마성의 향상 처리를 실행하는 용기로부터 에칭 용기로 피처리체를 반송 하는 것은 반송 공정에서의 양품률의 저하나 반송 시간에 따른 처리율(throughput)의 저하를 초래한다. 또한, 내플라즈마성의 향상 처리를 실행하는 용기를 에칭 용기와 별도로 마련하는 것은 여분의 공간이 필요할 뿐만 아니라 비용 상승을 초래한다.
한편, 에칭 대상층을 직접 포토 레지스트층으로 덮으면, 그 후의 포토 레지스트층을 노광 및 현상해서 개구 패턴을 형성하는 공정에서, 개구 패턴의 설계 치수 정밀도가 떨어진다. 이 때문에, 에칭 대상층과 포토 레지스트 마스크층의 사이에 반사 방지층을 삽입하는 것이 실행되고 있다. 이 반사 방지층을 C와 F를 갖는 물질을 포함하는 가스, 예를 들면 C4F8과 O2의 혼합 가스, HBr과 CF4와 He의 혼합 가스, CH2F2와 CF4와 He의 혼합 가스의 플라즈마로 에칭하는 것이 제안되어 있다(특허문헌 6). 반사 방지층을 에칭하는 에칭 가스로서는, 예를 들면, CF4와 O2의 혼합 가스도 알려져 있다 (특허문헌 7).
그러나, 반사 방지층을 C4F8과 O2의 혼합 가스나 CF4와 O2의 혼합 가스의 플라즈마로 에칭한 경우에는 포토 레지스트층의 표면이 거칠어지거나, 포토 레지스트층에 세로 줄무늬가 생기거나, 마스크층인 포토 레지스트층도 상당량 에칭되어 버려 마스크로서의 기능을 할 수 없게 되는 경우도 있다.
그래서, 포토 레지스트층의 내플라즈마성을 향상시키면서, 반사 방지층을 에칭하는 방법이 특허문헌 8(특히, 제 3 실시형태 참조)에 기재되어 있다.
일본국 특허공개공보 소화60-110124호 일본국 특허공개공보 평성2-252233호 일본국 특허공개공보 소화57-157523호 일본국 특허공개공보 평성4-23425호 일본국 특허공개공보 평성2-40914호 일본국 특허공개공보 평성10-261627호 일본국 특허공개공보 평성7-307328호 국제공개 제2004/003988호 팜플렛
특허문헌 8에서는 에칭 용기내를 배기한 후, 처리 가스로서 에칭 용기내에 H2 가스, 또는 H2 가스와 Ar 가스를 공급하고, 처리 가스를 플라즈마화시킴으로써, 포토 레지스트층의 내플라즈마성을 향상시키면서, 반사 방지층을 에칭한다.
특허문헌 8과 같이 포토 레지스트층의 내플라즈마성을 향상시킴으로써, 포토 레지스트층에 내플라즈마성의 향상 처리를 실시하지 않는 경우에 비해, 포토 레지스트층의 내플라즈마성이 향상하고, 반사 방지막을 한창 에칭하는 도중에 포토 레지스트층의 높이가 감소하는 정도가 개선된다.
본 발명은 상기 사정을 감안해서 이루어진 것으로, 포토 레지스트의 높이의 감소를 더욱 강력하게 억제할 수 있는 피처리체의 처리 방법 및 그 처리 방법을 실행하는 프로그램이 저장된 컴퓨터 판독 가능한 기억 매체를 제공한다.
상기 과제를 해결하기 위해, 본 발명의 제 1 형태에 따른 피처리체의 처리 방법은 처리용기내에, 제 1 전극 및 피처리체를 지지하는 제 2 전극을 대향해서 배치하고, 상기 처리용기내에 처리 가스를 공급하고, 상기 제 1 전극 및 상기 제 2 전극간에 고주파 전력을 인가해서 상기 제 1 전극 및 상기 제 2 전극간에 상기 처리 가스의 플라즈마를 생성시켜, 상기 제 2 전극에 지지된 상기 피처리체에 플라즈마 처리를 실시하는 피처리체의 처리 방법으로서, 상기 피처리체가, 유기막과, 이 유기막 상에 형성된 포토 레지스트층을 구비하고, 상기 처리 가스로서 수소를 포함하는 처리 가스를 이용하고, 상기 제 1 전극에 직류 부전압을 인가하면서, 상기 포토 레지스트층을 마스크로 이용하여, 상기 유기막을, 수소를 포함하는 플라즈마에 의해 에칭한다.
본 발명의 제 2 형태에 따른 컴퓨터 판독 가능한 기억 매체는 컴퓨터상에서 동작하고, 피처리체 처리 장치를 제어하는 제어 프로그램이 기억된 컴퓨터 판독 가능한 기억 매체로서, 상기 제어 프로그램은 실행시에, 제 1 형태에 따른 피처리체의 처리 방법이 실행되도록, 상기 피처리체 처리 장치를 제어시킨다.
본 발명에 의하면, 포토 레지스트층의 높이의 감소를 더욱 강력하게 억제할 수 있는 피처리체의 처리 방법 및 그 처리 방법을 실행하는 프로그램이 저장된 컴퓨터 판독 가능한 기억 매체를 제공된다.
도 1은 본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법을 실행하는 것이 가능한 처리 장치의 일예를 개략적으로 나타내는 단면도이다.
도 2는 상기 제 1 실시형태에 따른 피처리체의 처리 방법의 일예에 이용한 샘플을 나타내는 단면도이다.
도 3은 상기 제 1 실시형태에 따른 피처리체의 처리 방법의 일예를 나타내는 흐름도이다.
도 4의 (a) 및 (b)는 상기 제 1 실시형태에 따른 에칭의 메커니즘을 나타내는 단면도이다.
도 5의 (a) 및 (b)는 상기 제 1 실시형태에 따른 에칭의 메커니즘을 나타내는 단면도이다.
도 6의 (a) 내지 (c)는 상기 제 1 실시형태에 따른 피처리체의 처리 방법의 효과를 나타내는 사진이다.
도 7의 (a) 내지 (c)는 상기 제 1 실시형태에 따른 포토 레지스트층의 경화의 예를 나타내는 사진이다.
도 8의 (a) 내지 (d)는 본 발명의 제 2 실시형태에 따른 피처리체의 처리 방법의 일예를 나타내는 단면도이다.
도 9는 본 발명의 제 3 실시형태에 따른 피처리체의 처리 방법의 일예를 나타내는 흐름도이다.
도 10의 (a) 내지 (c)는 상기 제 3 실시형태에 따른 피처리체의 처리 방법의 효과를 나타내는 사진이다.
이하, 본 발명의 실시형태를 도면을 참조해서 설명한다. 또, 전체 도면에 걸쳐, 공통의 부분에는 공통의 참조 부호를 붙인다.
(제 1 실시형태)
도 1은 본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법을 실행하는 것이 가능한 처리 장치의 일예를 개략적으로 나타내는 단면도이다. 본 예에서는 처리 장치의 일예로서, 피처리체로서 반도체 웨이퍼(이하, 웨이퍼라 함)를 취급하고, 이 웨이퍼 상의 유기막을 에칭하는 용량 결합형 평행 평판 플라즈마 에칭 장치를 예시한다.
플라즈마 에칭 장치(1)는, 기밀하게 구성되고 웨이퍼 W가 반입되는 대략 원통형상의 챔버(chamber)(2)를 갖고 있다.
챔버(2)내의 바닥부에는 세라믹스 등으로 이루어지는 유전체판(3)을 사이에 두고 하부 전극으로서 기능하는 웨이퍼 W를 탑재하기 위한 스테이지(stage)(4)가 마련되어 있다. 스테이지(4)는 알루미늄 등의 금속제이며, 상면에 웨이퍼 W를 정전 흡착하기 위한 정전 척(도시하지 않음)이 마련되어 있고, 또한 내부에 냉각 매체를 통류해서 웨이퍼 W를 냉각하기 위한 냉각 매체 유로(도시하지 않음)가 마련되어 있다.
챔버(2)내의 상부에는 스테이지(4)에 대향해서 상부 전극으로서 기능하는 샤워헤드(5)가 마련되어 있다. 이렇게 하여, 상부 전극으로서 기능하는 샤워헤드(5)와 하부 전극으로서 기능하는 스테이지(4)에 의해 평행 평판 전극이 구성된다. 본 예의 샤워헤드(5)는 스테이지(4)의 대향면에 실리콘 또는 실리콘 카바이드(silicon carbide)를 포함하도록 구성되고, 직류의 부(-)전원(6)에 접속되어 있다. 샤워헤드(5)는 상부에 가스 도입구(7)를 갖고, 내부에 가스 확산 공간(8)을 가지며, 바닥부에 복수의 가스 토출 구멍(9)을 갖고 있다. 가스 도입구(7)에는 가스 공급 배관(10)의 일단이 접속되어 있다. 가스 공급 배관(10)의 타단에는 에칭을 위한 처리 가스를 공급하는 처리 가스 공급계(11)가 접속되어 있다. 에칭을 위한 처리 가스는 처리 가스 공급계(11)로부터 가스 공급 배관(10) 및 샤워헤드(5)를 거쳐서 챔버(2)내에 공급된다. 에칭을 위한 처리 가스는 본 예에서는 H2 가스에 Ar 가스를 더한 가스가 이용된다.
챔버(2)의 바닥부에는 배기관(12)이 접속되어 있다. 배기관(12)에는 진공 펌프나 압력 조정 밸브 등을 포함하는 배기 기구(13)가 접속되어 있다. 배기 기구(13)에 의해 챔버(2)내가 배기되어 챔버(2)내가 소정의 진공도로 유지되도록 되어 있다.
본 예에서는 하부 전극으로서 기능하는 스테이지(4)에 2개의 고주파가 공급된다. 하나는 플라즈마 생성에 적합한 높은 주파수를 갖는 제 1 고주파이며, 나머지 하나는 제 1 고주파보다도 낮은 주파수를 갖는 이온 인입에 적합한 제 2 고주파이다. 제 1 고주파의 주파수의 예는, 예를 들면, 10㎒ 이상 100㎒ 이하이고, 제 2 고주파의 주파수의 예는, 예를 들면, 15㎒ 이하 0.1㎒ 이상이다. 본 예에서는 제 1 고주파의 주파수를 일예로서 40㎒로 하고, 제 2 고주파의 주파수를 일예로서 13㎒로 하고 있다. 제 1 고주파는 제 1 고주파 전원(14a)으로부터 정합기(15a)를 거쳐서 스테이지(4)에 공급된다. 제 2 고주파는 제 2 고주파 전원(14b)으로부터 정합기(15b)를 거쳐서 스테이지(4)에 공급된다. 또, 스테이지(4)에 공급하는 고주파는 2개의 고주파를 공급하는 것에 한정되는 것은 아니고, 1개의 고주파, 즉, 단일 주파수를 공급하도록 해도 좋다.
제어부(50)는 플라즈마 에칭 장치(1)를 제어한다. 제어부(50)는 프로세스 컨트롤러(51), 유저 인터페이스(52) 및 기억부(53)를 포함해서 구성된다. 프로세스 컨트롤러(51)는 마이크로 프로세서(컴퓨터)로 이루어진다. 유저 인터페이스(52)는 운영자(operator)가 플라즈마 에칭 장치(1)를 관리하기 위해 커맨드(command)의 입력 조작 등을 실행하는 키보드나, 플라즈마 에칭 장치(1)의 가동 상황을 가시화해서 표시하는 디스플레이 등을 포함한다. 기억부(53)는 플라즈마 에칭 장치(1)에서 실시되는 처리를, 프로세스 컨트롤러(51)의 제어로 실현하기 위한 제어 프로그램, 각종 데이터 및 처리 조건에 따라 플라즈마 에칭 장치(1)에서의 처리를 실행시키기 위한 레시피(recipe)가 저장된다. 레시피는 기억부(53)내의 기억 매체에 기억된다. 기억 매체는 컴퓨터 판독 가능한 것으로서, 예를 들면, 하드 디스크라도 좋고, CD-ROM, DVD, 플래시 메모리 등의 휴대가능한 것이라도 좋다. 또한, 다른 장치로부터, 예를 들면, 전용 회선을 거쳐서 레시피를 적절히 전송시키도록 해도 좋다. 임의의 레시피는 유저 인터페이스(52)로부터의 지시 등에 의해 기억부(53)로부터 호출되어, 프로세스 컨트롤러(51)에서 실행됨으로써, 프로세스 컨트롤러(51)의 제어 하에, 플라즈마 에칭 장치(1)에서, 웨이퍼 W상에 형성된 유기막에 대한 에칭이 실시된다.
다음에, 본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법의 일예를 설명한다.
도 2는 본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법의 일예에 이용한 샘플을 나타내는 단면도, 도 3은 본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법의 일예를 나타내는 흐름도이다.
우선, 도 2에 나타내는 바와 같이, 샘플(100)은 도시하지 않은 웨이퍼 상에 실리콘 함유막(101)을 형성하고, 실리콘 함유막(101) 상에 유기막(102)을 형성하고, 유기막(102) 상에 포토 레지스트층(103)을 형성한 것이다. 이 포토 레지스트층(103)을 마스크로 이용하여, 유기막(102)이 에칭된다. 본 예에서는 실리콘 함유막(101)을 실리콘 산화막(SiO2)으로 하고, 유기막(102)을 BARC(Bottom Anti-Reflective Coating)로 하며, 포토 레지스트층(103)을 ArF용 포토 레지스트로 하였다.
이와 같은 샘플(100), 즉, 웨이퍼 W에 대해, 다음과 같은 흐름으로 처리를 실행한다.
도 3에 나타내는 바와 같이, 우선, 웨이퍼 W를 챔버(2)내에 반송하고, 스테이지(4) 상에 탑재한다(스텝 S1). 다음에, 챔버(2)내를 배기하여, 챔버(2)내의 압력을, 예를 들면, 100mT 미만으로 감압한다(스텝 S2). 다음에, 처리 가스로서 H2 가스를 포함하는 가스, 예를 들면, H2 가스와 Ar 가스를 챔버(2)내에 공급한다(스텝 S3). 유량의 일예는 “H2/Ar=450sccm/450sccm”이다. 또한, 처리 가스 공급 후의 챔버(2)내의 압력의 일예는 100mT이다.
다음에, 고주파를 스테이지(4)에 공급하여, 스테이지(4)와 샤워헤드(5)의 사이에 고주파를 공급하고, 직류 부전압을 샤워헤드(5)에 공급한다(스텝 S4). 고주파의 일예는 제 1 고주파로서 40㎒, 제 2 고주파로서 13㎒이다. 고주파의 파워의 일예는 제 1 고주파 파워로서 500W, 제 2 고주파 파워로서 0W이다. 또한, 직류 부전압의 일예는 -450V이다. 이것에 의해, 포토 레지스트층(103)을 마스크로 이용하여, 유기막(102)이 에칭된다. 에칭 시간의 일예는 25sec이다. 이 에칭의 메커니즘을 도 4의 (a) 및 (b), 도 5의 (a) 및 (b)에 나타낸다.
도 4의 (a)에 나타내는 바와 같이, 처리 가스를 챔버(2)내에 공급한 시점에 있어서는 스테이지(4)와 샤워헤드(5)의 사이에 규정되는 처리공간(104)에, 수소 가스(H2)와 아르곤 가스(Ar)가 떠다니고 있는 상태이다.
이와 같은 상태에서, 스테이지(4)와 샤워헤드(5)의 사이에 고주파를 공급하면, 도 4의 (b)에 나타내는 바와 같이 플라즈마가 생성되고, 처리공간(104)에 떠다니고 있는 수소 가스는 수소 분자가 떨어져 수소 래디컬(radical)(H*)로 되고, 아르곤 가스는 아르곤 이온(Ar+)이 된다. 이 상태에서 샤워헤드(5)에 직류 부전압을 공급하면, 정전하인 아르곤 이온(Ar+)은 샤워헤드(5)를 향해 이동한다. 아르곤 이온(Ar+)은 샤워헤드(5)에 부딪치고, 샤워헤드(5)의 스테이지(4)에의 대향면에 포함된 실리콘(Si)이 처리공간(104)으로 스퍼터(sputter)된다. 그와 동시에 전자(e-)가 방출된다.
이와 같은 상태에서, 포토 레지스트층(103)을 마스크로 이용한 유기막(102)의 에칭이 실행되면, 도 5의 (a)에 나타내는 바와 같이, Si가 포토 레지스트층(103)에 반응함으로써, 포토 레지스트층(103)의 표면이 SiC화되면서, 유기막(102)의 에칭이 진행한다고 추측된다. 또한, 전자선이 포토 레지스트층(103)에 조사되는 것에 의해 가교(cross-linking) 등의 반응이 진행하므로, 포토 레지스트층(103)이 개질되면서, 유기막(102)의 에칭이 진행한다. 또한, 수소 래디컬(H*)이 포토 레지스트층(103)에 반응하는 것에 의해서, 포토 레지스트층(103)의 개질이 진행하면서, 유기막(102)의 에칭이 진행한다. 그 결과, 도 5의 (b)에 나타내는 바와 같이, 포토 레지스트층(103)의 높이의 감소를 억제하면서, 유기막(102)을 에칭할 수 있다.
이와 같이, 본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법에 의하면, 포토 레지스트층(103)의 SiC화, 및 전자선 및 수소 래디컬에 의한 포토 레지스트층(103)의 개질의 쌍방을 수반하면서, 포토 레지스트층(103)을 마스크로 이용하여 유기막(102)이 에칭된다고 추측된다. 이것에 의해, 포토 레지스트층(103)의 높이의 감소를 더욱 강력하게 억제할 수 있는 피처리체의 처리 방법을 얻을 수 있다.
본 발명의 제 1 실시형태에 따른 피처리체의 처리 방법의 효과로서, 샘플(100)의 에칭 전의 실제의 단면 사진을 도 6의 (a)에, 제 1 실시형태에 따른 피처리체의 처리 방법에 따라 에칭한 후의 실제의 단면 사진을 도 6의 (b)에 나타낸다. 또한, 비교예로서, 샤워헤드(5)를 접지한 채로 에칭한 후의 실제의 단면 사진을 도 6의 (c)에 나타낸다.
도 6의 (b)에 나타내는 바와 같이, 제 1 실시형태에 따른 피처리체의 처리 방법에 의하면, 도 6의 (c)에 나타내는 비교예에 비해, 유기막(102)을 에칭할 때의 포토 레지스트층(103)의 높이의 감소가 억제되고 있는 것을 알 수 있다. 또, 비교예에 의한 에칭 조건은 샤워헤드(5)에 직류 부전압을 공급하지 않고 접지하는 것만이 다르며, 압력, 처리 가스, 처리 가스 유량, 에칭 시간은 제 1 실시형태에 따른 피처리체의 처리 방법과 동일하다.
본 발명의 제 1 실시형태에 의하면, 포토 레지스트층(103)을 경화시키는 것도 가능하다. 포토 레지스트층(103)의 경화의 예를 도 7의 (a) 내지 (c)에 나타낸다. 포토 레지스트층(103)의 경화를 확인하는 시험은 다음과 같이 해서 실행하였다.
(레시피 1)
레시피 1은 포토 레지스트층을 경화하는 조건이다. 처리 조건은 다음과 같이 하였다.
챔버내 압력 : 100mT
처리 가스: H2 가스+Ar 가스
처리 가스 유량 : H2/Ar=450sccm/450sccm
고주파 파워 : 500W(40㎒)
상부 전극 직류 전압: -450V
처리 시간 : 20sec
(레시피 2)
레시피 2는 포토 레지스트층을 에칭하는 조건이다. 처리 조건은 다음과 같이 하였다.
챔버내 압력 : 10mT
처리 가스 : CO 가스+O2 가스
처리 가스 유량 : CO/O2=120sccm/120sccm
고주파 파워 : 100W(40㎒)
상부 전극 직류 전압 : 없음
처리 시간 : 20sec
도 7의 (a)에 샘플의 실제의 단면 사진을 나타낸다. 샘플은 도시하지 않은 웨이퍼 상에 하지막을 형성하고, 하지막 상에 포토 레지스트층(103)을 형성한 것이다. 본 예에서는 하지막을 SiON으로 하고, 포토 레지스트층(103)을 ArF용 포토 레지스트로 하였다.
레시피 1의 후, 레시피 2를 실행한 후의 단면 사진을 도 7의 (b)에 나타낸다. 레시피 1에 따라 포토 레지스트층(103)을 경화시킨 후, 레시피 2에 따라 포토 레지스트층(103)을 에칭하면, 20sec의 에칭의 후에 있어서도 충분한 높이의 포토 레지스트층(103)이 남았다.
이에 반해, 레시피 1을 실행하지 않고, 직접 레시피 2를 실행하면, 도 7의 (c)에 나타내는 바와 같이, 포토 레지스트층(103)의 높이가 도 7의 (b)에 나타내는 경우에 비해 크게 감소하였다.
이 시험에 의해, 레시피 1을 실행함으로써, 포토 레지스트층(103)이 경화하여, 산소 플라즈마 내성이 향상하는 것이 확인되었다.
(제 2 실시형태)
도 8의 (a) 내지 (d)는 본 발명의 제 2 실시형태에 따른 피처리체의 처리 방법의 일예를 나타내는 단면도이다.
제 1 실시형태에 의해 설명한 바와 같이, 포토 레지스트층(103)이 경화하는 것이 확인되었으므로, 포토 레지스트층(103)의 트리밍(trimming)(사이즈의 슬림(slim)화)에도 유용하다.
도 8의 (a)에 나타내는 바와 같이, 웨이퍼 W 상에 실리콘 함유막(101)을 형성하고, 실리콘 함유막(101) 상에 유기막(102)을 형성하고, 유기막(102) 상에 포토 레지스트층(103)을 형성한다.
다음에, 도 8의 (b)에 나타내는 바와 같이, 제 1 실시형태에 의해 설명한 처리 방법에 따라 유기막(102)을 에칭한다.
다음에, 도 8의 (c)에 나타내는 바와 같이, 산소를 함유하는 가스를 처리 가스로 하고, 예를 들어, 도 1에 도시하는 스테이지(4)와 샤워헤드(5)의 사이에 고주파를 인가하여 플라즈마를 생성한다. 유기막(102) 및 포토 레지스트층(103)은 산소함유 가스 플라즈마에 포함되는 산소 래디컬(O*)에 의해 에칭되고, 유기막(102) 및 포토 레지스트층(103)의 사이즈가 슬림화된다.
다음에, 도 8의 (d)에 나타내는 바와 같이, 슬림화된 유기막(102) 및 포토 레지스트층(103)을 마스크로 이용하여, 하층의 실리콘 함유막(101)을 에칭한다. 이것에 의해, 작은 사이즈, 예를 들면, 최소 노광 치수보다도 작은 사이즈의 실리콘 함유막(101)에 의한 패턴이 형성된다.
이와 같이, 본 발명에 따른 피처리체의 처리 방법에 의하면, 포토 레지스트층(103)이 경화하고, 플라즈마 내성이 향상하기 때문에, 유기막(102) 및 포토 레지스트층(103)을 동시에 트리밍하는 것도 가능하게 된다. 이 때문에, 본 발명에 따른 피처리체의 처리 방법은 작은 사이즈, 예를 들면, 최소노광 치수보다도 작은 사이즈의 실리콘 함유막(101)의 형성에도 유리하다.
또, 본 발명을 적용하지 않는 지금까지의 처리 조건이면, 트리밍시에 유기막(102) 및 포토 레지스트층(103)이 소실, 또는 패턴 붕괴가 발생해 버리므로, 이와 같은 트리밍 처리는 불가능하였다.
(제 3 실시형태)
제 2 실시형태에 의해 설명한 바와 같이, 본 발명의 피처리체의 처리 방법에 의하면, 유기막(102)을 에칭하는 도중에, 포토 레지스트층(103)을 경화시킬 수 있다.
그러나, 이하에 설명하는 포토 레지스트층(103)을 경화시키는 경화 공정을 더 구비함으로써, 포토 레지스트층(103)을 더욱 단단하게 경화시킬 수 있다. 이하, 이와 같은 예를 제 3 실시형태로서 설명한다.
도 9는 본 발명의 제 3 실시형태에 따른 피처리체의 처리 방법의 일예를 나타내는 흐름도이다.
도 9에 나타내는 바와 같이, 도 3을 참조해서 설명한 스텝 S1∼스텝 S4를 실행하고, 포토 레지스트층(103)을 마스크로 이용하여 유기막(102)을 에칭한다. 또, 본 예에서는 유기막 에칭용의 처리 가스, 처리 가스의 유량, 챔버(2)내의 압력은 도 3을 참조해서 설명한 스텝 S3 및 스텝 S4와 동일하게 했지만, 스텝 S4에 있어서의 직류 부전압은 -250V, 에칭 시간은 20sec로 하였다. 이것에 의해 유기막(102)이 에칭된다.
다음에, 챔버(2)내를 배기하고, 챔버(2)내의 압력을, 예를 들면, 50mT 미만으로 감압한다(스텝 S5). 다음에, 경화 처리용의 처리 가스로서 수소 가스를 포함하는 처리 가스, 예를 들면 H2 가스와 CF4 가스와 Ar 가스를 챔버(2)내에 공급한다(스텝 S6). 유량의 일예는 “H2/CF4/Ar=100sccm/40sccm/800sccm”이다. 또한, 처리 가스 공급 후의 챔버(2)내의 압력의 일예는 50mT이다.
다음에, 고주파를 스테이지(4)에 공급하고, 스테이지(4)와 샤워헤드(5)의 사이에 고주파를 공급하는 동시에, 직류 부전압을 샤워헤드(5)에 공급한다(스텝 S7). 고주파의 일예는 제 1 고주파로서 40㎒, 제 2 고주파로서 13㎒이다. 고주파의 파워의 일예는 제 1 고주파로서 300W, 제 2 고주파로서 0W이다. 또한, 직류 부전압의 일예는 -900V이다. 이것에 의해, 포토 레지스트층(103)이 경화된다. 처리 시간의 일예는 30sec이다.
다음에, 챔버(2)내를 배기하고, 챔버(2)내의 압력을, 예를 들면 30mT미만으로 감압한다(스텝 S8). 다음에, 실리콘 함유막 에칭용 처리 가스로서 CF4 가스와 CHF3 가스와 Ar 가스를 챔버(2)내에 공급한다(스텝 S9). 유량의 일예는 “CF4/CHF3/Ar=125sccm/20sccm/900sccm”이다. 또한, 처리 가스 공급 후의 챔버(2)내의 압력의 일예는 30mT이다.
다음에, 고주파를 스테이지(4)에 공급하여, 스테이지(4)와 샤워헤드(5)의 사이에 고주파를 공급하고, 직류 부전압을 샤워헤드(5)에 공급한다(스텝 S10). 고주파의 일예는 제 1 고주파로서 40㎒, 제 2 고주파로서 13㎒이다. 고주파의 파워의 일예는 제 1 고주파로서 0W, 제 2 고주파로서 800W이다. 또한, 직류 부전압의 일예는 -300V이다. 이것에 의해, 실리콘 함유막(101), 예를 들면 실리콘 산화막(SiO2)이 에칭된다. 처리 시간의 일예는 45sec이다.
도 9에 나타내는 흐름으로 실리콘 함유막(101)을 에칭한 후의 실제의 평면 사진을 도 10의 (a)에 나타낸다.
도 10의 (a)에 나타내는 바와 같이, 도 9에 나타내는 흐름으로 실리콘 함유막(101)을 에칭하면, 라인 에지 러프니스(Line Edge Roughness)(LER)가 2.8㎚라는 균일한 실리콘 함유막(101)의 패턴을 형성할 수 있었다.
비교예 1로서, 유기막(102)의 에칭을 종전의 유기막 에칭의 조건으로서 실행하고, 유기막 에칭 후, 실리콘 함유막(101)의 에칭을 실행한 경우의 평면 사진을 도 10의 (b)에 나타낸다. 또, 종전의 유기막 에칭의 조건은 다음과 같다.
챔버내 압력 : 30mT
유기막 에칭용 처리 가스 : CF4 가스/O2 가스
처리 가스 유량 : CF4/O2=250sccm/5sccm
고주파 파워 : 400W(40㎒)
상부 전극 직류 전압 : 없음
처리 시간 : 18sec
또한, 실리콘 함유막 에칭 조건은 제 3 실시형태와 마찬가지이다.
이 경우에는 LER이 8.7㎚이었다.
또한, 비교예 2로서, 유기막 에칭 후, 제 3 실시형태에 의한 경화 처리를 실행하고, 경화 처리 후, 실리콘 함유막 에칭을 실행한 경우의 평면 사진을 도 10의 (c)에 나타낸다.
비교예 2에 있어서, 유기막 에칭의 조건은 비교예 1과 동일하고, 경화 처리의 조건, 및 실리콘 함유막의 에칭 조건은 제 3 실시형태와 동일하다.
이 경우에는 LER이 6.4㎚까지 개선되었다.
이와 같이, 제 3 실시형태에 의하면, 직류 부전압을 샤워헤드(5)에 공급하면서, 수소 및 아르곤을 포함하는 가스의 플라즈마를 이용하여 유기막 에칭을 하고, 또한 직류 부전압을 샤워헤드(5)에 공급하면서, 수소, 탄소, 불소 및 아르곤을 포함하는 가스의 플라즈마를 이용하여 포토 레지스트층(103)의 경화 처리를 실행함으로써, LER이 개선된다고 하는 이점을 얻을 수 있다.
또한, 도 10의 (a)의 평면 사진에 나타내는 바와 같이, 제 3 실시형태에 의하면, 비교예 1(도 10의 (b)), 및 비교예 2(도 10의 (c))에 비해 흔들림(wiggling)(패턴의 기복)도 개선된다고 하는 이점을 얻을 수 있다.
이와 같이, 제 3 실시형태에 의하면, LER, 흔들림을 개선할 수 있는 피처리체의 처리 방법을 얻을 수 있다.
이상, 본 발명을 몇 개의 실시형태에 따라 설명했지만, 본 발명은 상기 실시형태에 한정되는 것은 아니고, 그 주지를 이탈하지 않는 범위에서 다양하게 변형할 수 있다.
예를 들면, 상기 실시형태에 있어서는 실리콘 함유막(101)을 SiO2로 했지만, 실리콘 함유막은 SiO2에 한정되는 것은 아니고, Si, SiN, SiON, SiOC 등 실리콘을 함유하는 막이면 좋다.
그 밖에, 본 발명은 그 취지를 이탈하지 않는 범위에서 다양하게 변형할 수 있다.
101: 실리콘 함유막 102: 유기막
103: 포토 레지스트층 104: 처리공간

Claims (7)

  1. 처리용기내에, 제 1 전극 및 피처리체를 지지하는 제 2 전극을 대향해서 배치하고, 상기 처리용기내에 처리 가스를 공급하고, 상기 제 1 전극 및 상기 제 2 전극간에 고주파 전력을 인가해서 상기 제 1 전극 및 상기 제 2 전극간에 상기 처리 가스의 플라즈마를 생성시켜, 상기 제 2 전극에 지지된 상기 피처리체에 플라즈마 처리를 실시하는 피처리체의 처리 방법으로서,
    상기 피처리체가 유기막과, 이 유기막 상에 형성된 포토 레지스트층을 구비하고,
    상기 처리 가스로서 수소가스를 포함하는 처리 가스를 이용하고,
    상기 제 1 전극에 직류 부전압을 인가하면서, 상기 포토 레지스트층을 마스크로 이용하여, 상기 유기막을, 수소를 포함하는 플라즈마에 의해 에칭하는 것
    을 특징으로 하는 피처리체의 처리 방법.
  2. 제 1 항에 있어서,
    상기 제 1 전극을, 실리콘을 포함하는 재료로 구성하고, 상기 제 1 전극에 직류 부전압을 인가함으로써 상기 제 1 전극을 스퍼터하고, 상기 스퍼터된 실리콘, 상기 수소, 및 전자에 의해 상기 포토 레지스트층을 개질(改質)하면서, 상기 유기막을, 상기 포토 레지스트층을 마스크로 이용하여 에칭하는 것을 특징으로 하는 피처리체의 처리 방법.
  3. 제 2 항에 있어서,
    상기 처리 가스에, 아르곤가스를 더 포함하는 것을 특징으로 하는 피처리체의 처리 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한항에 있어서,
    상기 유기막을, 상기 포토 레지스트층을 마스크로 이용하여 에칭한 후, 상기 포토 레지스트층 및 상기 유기막을, 산소를 포함하는 플라즈마에 의해 트리밍하는 공정을 더 구비하는 것을 특징으로 하는 피처리체의 처리 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한항에 있어서,
    상기 유기막을, 상기 포토 레지스트층을 마스크로 이용하여 에칭한 후, 상기 포토 레지스트층을, 상기 제 1 전극에 직류 부전압을 인가하면서, 수소, 탄소 및 불소 및 아르곤을 포함하는 플라즈마에 의해 경화하는 공정을 더 구비하는 것을 특징으로 하는 피처리체의 처리 방법.
  6. 제 5 항에 있어서,
    상기 피처리체가, 상기 유기막 아래에 실리콘 함유막을 더 구비하고,
    상기 경화하는 공정 후, 상기 유기막 아래의 상기 실리콘 함유막을 에칭하는 공정을 더 구비하는 것을 특징으로 하는
    피처리체의 처리 방법.
  7. 컴퓨터상에서 동작하고, 피처리체 처리 장치를 제어하는 제어 프로그램이 기억된 컴퓨터 판독 가능한 기억 매체로서,
    상기 제어 프로그램은 실행시에, 청구항 1 내지 청구항 6 중의 어느 한 항에 기재된 피처리체의 처리 방법이 실행되도록, 상기 피처리체 처리 장치를 제어시키는 것을 특징으로 하는
    컴퓨터 판독 가능한 기억 매체.
KR1020100087628A 2009-09-08 2010-09-07 피처리체의 처리 방법 KR101682724B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2009-207490 2009-09-08
JP2009207490A JP5486883B2 (ja) 2009-09-08 2009-09-08 被処理体の処理方法

Publications (2)

Publication Number Publication Date
KR20110027597A true KR20110027597A (ko) 2011-03-16
KR101682724B1 KR101682724B1 (ko) 2016-12-05

Family

ID=43648119

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100087628A KR101682724B1 (ko) 2009-09-08 2010-09-07 피처리체의 처리 방법

Country Status (5)

Country Link
US (1) US8759227B2 (ko)
JP (1) JP5486883B2 (ko)
KR (1) KR101682724B1 (ko)
CN (1) CN102013397B (ko)
TW (1) TWI550707B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5142236B1 (ja) * 2011-11-15 2013-02-13 エルシード株式会社 エッチング方法
JP5934523B2 (ja) 2012-03-02 2016-06-15 東京エレクトロン株式会社 半導体装置の製造方法及びコンピュータ記録媒体
JP6226668B2 (ja) * 2012-09-25 2017-11-08 東京エレクトロン株式会社 プラズマ処理方法
JP5435523B1 (ja) * 2012-10-12 2014-03-05 エルシード株式会社 半導体発光素子及びその製造方法
JP6017928B2 (ja) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
TWI611595B (zh) * 2013-02-12 2018-01-11 El Seed Corp Led元件
US9520270B2 (en) * 2014-07-25 2016-12-13 Tokyo Eelctron Limited Direct current superposition curing for resist reflow temperature enhancement
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
JP6236481B2 (ja) 2016-02-17 2017-11-22 東京エレクトロン株式会社 パターン形成方法
US9852924B1 (en) * 2016-08-24 2017-12-26 Lam Research Corporation Line edge roughness improvement with sidewall sputtering
KR102239765B1 (ko) 2016-09-20 2021-04-12 도쿄엘렉트론가부시키가이샤 셀프얼라인 멀티패터닝 기술을 위한 스페이서 형성
JP7175162B2 (ja) 2018-11-05 2022-11-18 東京エレクトロン株式会社 被処理体のプラズマエッチング方法及びプラズマエッチング装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57157523A (en) 1981-03-25 1982-09-29 Hitachi Ltd Forming method for pattern
JPS60110124A (ja) 1983-11-18 1985-06-15 Matsushita Electronics Corp 微細パタ−ン加工方法
JPH0240914A (ja) 1988-07-30 1990-02-09 Nec Corp パターン形成方法
JPH02252233A (ja) 1989-03-27 1990-10-11 Matsushita Electric Ind Co Ltd 微細パターン形成方法
JPH0423425A (ja) 1990-05-18 1992-01-27 Fujitsu Ltd 半導体装置の製造方法
JPH07307328A (ja) 1994-05-12 1995-11-21 Fujitsu Ltd パターン形成方法
JPH10261627A (ja) 1997-03-19 1998-09-29 Oki Electric Ind Co Ltd 半導体装置の製造方法
WO2004003988A1 (ja) 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
JP2006270018A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000012293A (ja) 1998-06-23 2000-01-14 Nippon Telegr & Teleph Corp <Ntt> 中性ビーム発生装置
JP2003045821A (ja) * 2001-07-30 2003-02-14 Fujitsu Ltd シリコンの加工方法と半導体装置の製造方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7473377B2 (en) * 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
CN100423192C (zh) * 2003-03-31 2008-10-01 东京毅力科创株式会社 用于多层光致抗蚀剂干式显影的方法和装置
US7951262B2 (en) * 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7399712B1 (en) * 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US7268080B2 (en) * 2005-11-09 2007-09-11 Infineon Technologies Ag Method for printing contacts on a substrate
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP4928832B2 (ja) * 2006-05-25 2012-05-09 東京エレクトロン株式会社 エッチング方法及びコンピュータ読み取り可能な記録媒体
JP4912907B2 (ja) 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP2008198659A (ja) * 2007-02-08 2008-08-28 Tokyo Electron Ltd プラズマエッチング方法
KR101562408B1 (ko) * 2007-09-27 2015-10-21 램 리써치 코포레이션 Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57157523A (en) 1981-03-25 1982-09-29 Hitachi Ltd Forming method for pattern
JPS60110124A (ja) 1983-11-18 1985-06-15 Matsushita Electronics Corp 微細パタ−ン加工方法
JPH0240914A (ja) 1988-07-30 1990-02-09 Nec Corp パターン形成方法
JPH02252233A (ja) 1989-03-27 1990-10-11 Matsushita Electric Ind Co Ltd 微細パターン形成方法
JPH0423425A (ja) 1990-05-18 1992-01-27 Fujitsu Ltd 半導体装置の製造方法
JPH07307328A (ja) 1994-05-12 1995-11-21 Fujitsu Ltd パターン形成方法
JPH10261627A (ja) 1997-03-19 1998-09-29 Oki Electric Ind Co Ltd 半導体装置の製造方法
WO2004003988A1 (ja) 2002-06-27 2004-01-08 Tokyo Electron Limited プラズマ処理方法
JP2009164626A (ja) * 2002-06-27 2009-07-23 Tokyo Electron Ltd プラズマ処理方法
JP2006270018A (ja) * 2004-06-21 2006-10-05 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体

Also Published As

Publication number Publication date
TWI550707B (zh) 2016-09-21
KR101682724B1 (ko) 2016-12-05
CN102013397B (zh) 2019-07-26
US20110059616A1 (en) 2011-03-10
TW201131639A (en) 2011-09-16
US8759227B2 (en) 2014-06-24
CN102013397A (zh) 2011-04-13
JP2011060916A (ja) 2011-03-24
JP5486883B2 (ja) 2014-05-07

Similar Documents

Publication Publication Date Title
KR20110027597A (ko) 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체
KR101335137B1 (ko) 수소 유량 램핑으로 포토레지스트 플라즈마를 컨디셔닝하는 단계를 포함하는 에칭 방법
US11658036B2 (en) Apparatus for processing substrate
KR102245901B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
TWI446436B (zh) Plasma etching method, plasma etching device, control program and computer memory media
US10763123B2 (en) Method for processing workpiece
KR20120022582A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US9330935B2 (en) Plasma etching method and plasma etching apparatus
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8642482B2 (en) Plasma etching method, control program and computer storage medium
KR20090107055A (ko) 에칭 동안 라인 말단 단축의 감소 방법
KR102589406B1 (ko) 에칭 방법
JP7061653B2 (ja) 被処理体を処理する方法
WO2017170405A1 (ja) 被処理体を処理する方法
TW201724252A (zh) 蝕刻方法
TW201818465A (zh) 被處理體之處理方法
KR102531901B1 (ko) 피처리체를 처리하는 방법
KR102362282B1 (ko) 피처리체를 처리하는 방법
KR101097025B1 (ko) 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20191118

Year of fee payment: 4