KR102531901B1 - 피처리체를 처리하는 방법 - Google Patents

피처리체를 처리하는 방법 Download PDF

Info

Publication number
KR102531901B1
KR102531901B1 KR1020197003501A KR20197003501A KR102531901B1 KR 102531901 B1 KR102531901 B1 KR 102531901B1 KR 1020197003501 A KR1020197003501 A KR 1020197003501A KR 20197003501 A KR20197003501 A KR 20197003501A KR 102531901 B1 KR102531901 B1 KR 102531901B1
Authority
KR
South Korea
Prior art keywords
gas
region
mask
etching
plasma
Prior art date
Application number
KR1020197003501A
Other languages
English (en)
Other versions
KR20190026844A (ko
Inventor
신야 모리키타
다카노리 반세
유타 세야
료스케 니이츠마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190026844A publication Critical patent/KR20190026844A/ko
Application granted granted Critical
Publication of KR102531901B1 publication Critical patent/KR102531901B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

일 실시형태에 관한 방법(MT)은, 유기막 등의 가공에 있어서 패턴 형상의 제어를 행할 수 있는 기술을 제공한다. 일 실시형태에 있어서의 방법(MT)의 적용 대상이 되는 웨이퍼(W)는 피에칭층(EL)과 유기막(OL)과 마스크(ALM)를 구비하고, 유기막(OL)은 제1 영역(VL1)과 제2 영역(VL2)에 의하여 구성되며, 마스크(ALM)는 제1 영역(VL1) 상에 마련되고, 제1 영역(VL1)은 제2 영역(VL2) 상에 마련되며, 제2 영역(VL2)은 피에칭층(EL) 상에 마련된다. 방법(MT)은, 웨이퍼(W)가 수용된 처리 용기(12) 내에 있어서 질소 가스를 포함하는 가스의 플라즈마를 생성하여 제1 영역(VL1)을 제2 영역(VL2)에 도달할 때까지 에칭하고, 제1 영역(VL1)으로부터 마스크(OLM1)를 형성하며, 마스크(OLM1)의 측면(SF)에 보호막(SX)을 컨포멀하게 형성하고, 제2 영역(VL2)을 피에칭층(EL)에 도달할 때까지 에칭하여 제2 영역(VL2)으로부터 마스크(OLM2)를 형성한다.

Description

피처리체를 처리하는 방법
본 발명의 실시형태는, 피처리체를 처리하는 방법에 관한 것이다.
반도체 디바이스와 같은 전자 디바이스의 제조 프로세스에서는, 플라즈마 처리 장치를 이용하여 피처리체의 플라즈마 처리가 행해지는 경우가 있으며, 플라즈마 처리의 일종으로서, 플라즈마 에칭이 있다. 플라즈마 에칭에 이용되는 레지스트 마스크는, 포토리소그래피 기술에 의하여 형성되고, 피에칭층에 형성되는 패턴의 한계 치수는, 포토리소그래피 기술에 의하여 형성되는 레지스트 마스크의 해상도에 의존한다. 그러나, 레지스트 마스크의 해상도에는 해상 한계가 있다. 전자 디바이스의 고집적화(高集積化)에 대한 요구가 갈수록 높아지고 있고, 레지스트 마스크의 해상 한계보다 작은 치수의 패턴을 형성하는 것이 요구되고 있다. 이로 인하여, 특허문헌 1에 기재되어 있는 바와 같이, 레지스트 마스크 상에 실리콘 산화막을 형성함으로써, 당해 레지스트 마스크의 치수를 조정하고, 당해 레지스트 마스크에 의하여 제공되는 개구의 폭을 축소하는 기술이 제안되어 있다.
특허문헌 1: 일본 공개특허공보 2004-80033호
한편, 최근의 전자 디바이스의 고집적화에 따른 미세화에 의하여, 피처리체상의 패턴 형성에 있어서, 고정밀도(高精密度)의 최소 선 폭(CD: Critical Dimension)의 제어가 요구된다. 또한, 전자 디바이스의 양산성의 관점에서는, 장기적으로 안정된 최소 선 폭의 재현성 등도 요구된다. 특히, 적층 구조에 포함되는 예를 들면 유기막 등의 가공을 진행시키는 경우에 있어서, 유기막 등의 패턴 형상을 유지하지 못하고, 당해 가공의 전후에 있어서 CD의 변화가 발생하는 경우가 있다. 따라서, 유기막 등의 가공에 있어서 패턴 형상의 제어를 행할 수 있는 기술의 실현이 요망되고 있다.
일 양태에 있어서는, 피처리체를 처리하는 방법이 제공된다. 피처리체는 피에칭층과 피에칭층 상에 마련된 유기막과 유기막 상에 마련된 마스크를 구비하고, 유기막은 제1 영역과 제2 영역에 의하여 구성되며, 마스크는 제1 영역 상에 마련되고, 제1 영역은 제2 영역 상에 마련되며, 제2 영역은 피에칭층 상에 마련된다. 이 방법은, 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서, 제1 가스의 플라즈마를 생성하고, 플라즈마와 마스크를 이용하여 제1 영역을 제2 영역에 도달할 때까지 에칭하며, 제1 영역의 측면에 보호막을 컨포멀하게 형성하는 공정(공정 a라고 함)과, 보호막을 이용하여, 제1 영역의 형상을 유지하면서, 제2 영역을 피에칭층에 도달할 때까지 에칭하는 공정(공정 b라고 함)을 구비한다.
상기 방법에서는, 유기막을 에칭하는 공정이 2개의 공정(공정 a에 포함되는 공정) 및 공정 b로 나누어져 있다. 유기막을 에칭하는 1번째 공정(공정 a에 포함되는 공정)에서는, 유기막 중 제1 영역을 제1 가스의 플라즈마에 의하여 에칭하고, 제1 영역의 측면에 보호막을 미리 형성하며(공정 a), 이 후에, 유기막을 에칭하는 2번째 공정 b에서는, 제1 영역과 보호막을 이용하고, 제1 영역의 형상을 유지하면서, 유기막 중 잔여의 제2 영역을 피에칭층에 도달할 때까지 에칭한다. 이와 같이, 유기막의 에칭에 있어서, 공정 b에서는, 제1 영역의 폭의 에칭에 의한 감소가 보호막에 의하여 억제될 수 있으므로, 공정 b에 있어서 제2 영역의 폭이 제1 영역의 폭과는 독립적으로 제어될 수 있다. 즉, 유기막의 Top CD(제1 영역의 상단의 폭에 대응)와 Bottom CD(제2 영역의 폭에 대응)를 독립적으로 제어할 수 있다.
일 실시형태에 있어서, 제1 가스는, 수소 가스와 질소 가스를 포함할 수 있다. 이와 같이, 수소 가스와 질소 가스를 포함하는 제1 가스의 플라즈마에 의하여, 유기막에 대한 에칭을 양호한 수직성으로 행할 수 있고, 따라서 에칭에 의한 패턴 폭의 변동이 억제될 수 있다.
일 실시형태에 있어서, 보호막은, 산화막일 수 있다. 이와 같이, 보호막이 산화막이므로, 산화막에 대하여 고선택비의 에칭이 제2 영역에 대하여 행해지는 경우에는, 제2 영역에 대한 에칭양(특히, 피에칭층의 표면을 따른 방향에 있어서의 에칭양)이 양호하게 제어될 수 있다.
일 실시형태에 있어서, 공정 a는, 제1 영역을 제2 영역에 도달할 때까지 에칭한 후에 있어서, 처리 용기 내에 제2 가스를 공급하는 공정(공정 c라고 함)과, 공정 c의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정(공정 d라고 함)과, 공정 d의 실행 후에, 처리 용기 내에 있어서 제3 가스의 플라즈마를 생성하는 공정(공정 e라고 함)과, 공정 e의 실행 후에, 처리 용기 내의 공간을 퍼지하는 공정을 포함하는 시퀀스를 반복 실행함으로써, 제1 영역의 측면에 보호막을 컨포멀하게 형성할 수 있다. 그리고, 공정 c는, 제2 가스의 플라즈마를 생성하지 않는 것으로 할 수 있다. 이와 같이, 공정 a는, ALD(Atomic Layer Deposition)법과 동일한 방법에 의하여 제1 영역의 측면에 보호막이 컨포멀하게 형성되므로, 제1 영역에 대한 보호의 강도가 향상됨과 함께, 제1 영역을 보호하는 보호막을 균일한 막 두께로 형성할 수 있다.
일 실시형태에 있어서, 제2 가스는, 아미노실란계 가스를 포함할 수 있다. 이와 같이, 제2 가스가 아미노실란계 가스를 포함하므로, 공정 c에 의하여, 실리콘의 반응 전구체가 제1 영역의 측면 등의 원자층을 따라 제1 영역 등의 위에 형성된다.
일 실시형태에 있어서, 제2 가스는, 모노아미노실란을 포함할 수 있다. 따라서, 모노아미노실란을 포함하는 제2 가스를 이용하여, 공정 c에 있어서 실리콘의 반응 전구체의 형성을 행할 수 있다.
일 실시형태에 있어서, 제2 가스에 포함되는 아미노실란계 가스는, 1~3개의 규소 원자를 갖는 아미노실란을 포함할 수 있다. 제2 가스에 포함되는 아미노실란계 가스는, 1~3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 이와 같이, 제2 가스에 포함되는 아미노실란계 가스에는, 1~3개의 규소 원자를 갖는 아미노실란을 이용할 수 있다. 또, 제2 가스에 포함되는 아미노실란계 가스에는, 1~3개의 아미노기를 갖는 아미노실란을 이용할 수 있다.
일 실시형태에 있어서, 제3 가스는, 산소 원자를 포함할 수 있다. 예를 들면, 제3 가스는, 이산화 탄소 가스 또는 산소 가스를 포함할 수 있다. 이와 같이, 제3 가스가 산소 원자를 포함하므로, 공정 e에 있어서, 당해 산소 원자가 제1 영역 등의 위에 마련되는 실리콘의 반응 전구체와 결합함으로써, 제1 영역 등의 위에 산화 실리콘의 보호막이 컨포멀하게 형성될 수 있다. 또, 제3 가스가 이산화 탄소 가스인 경우, 제3 가스가 탄소 원자를 포함하므로, 산소 원자에 의한 제1 영역 등에 대한 침식이 당해 탄소 원자에 의하여 억제될 수 있다.
일 실시형태에서는, 공정 a는, 시퀀스를 반복 실행한 후에 처리 용기 내에 있어서 제4 가스의 플라즈마를 생성하고, 시퀀스를 반복 실행함으로써 제2 영역의 표면에 형성된 막을, 플라즈마를 이용하여 제거하는 공정을 더 포함할 수 있다. 그리고, 제4 가스는, 불소를 포함할 수 있다. 이와 같이, 시퀀스를 반복 실행함으로써 형성되는 막에 대한 에칭이 불소를 포함하는 제4 가스의 플라즈마를 이용하여 이방적으로 행해지고, 제2 영역의 표면에 형성된 막이 선택적으로 제거될 수 있으므로, 이 제거 후에 있어서, 제2 영역에 대한 에칭이 가능하게 된다.
일 실시형태에서는, 공정 b는, 처리 용기 내에 있어서, 제5 가스의 플라즈마를 생성하고, 플라즈마와 제1 영역과 보호막을 이용하여, 제2 영역을 에칭할 수 있다. 이와 같이, 공정 b에서는, 제5 가스의 플라즈마를 이용하여, 제2 영역의 에칭이 행해질 수 있다.
일 실시형태에서는, 공정 b는, 처리 용기 내의 압력이 제1 압력이 되는 기간과, 이 기간 후에 있어서, 처리 용기 내의 압력이 제2 압력이 되는 기간을 포함할 수 있다. 그리고, 제2 압력을, 제1 압력보다 높게 할 수 있다. 이와 같이, 공정 b에 있어서, 처리 용기 내의 압력을 증가시킴으로써, 제2 영역에 대한 에칭을, 피에칭층의 표면을 따른 방향으로 진행할 수 있다. 따라서, 공정 b에 있어서 제2 영역의 폭을 적절히 제어할 수 있다.
일 실시형태에서는, 제5 가스는, 산소 가스를 포함한다. 이와 같이, 제5 가스가 산소 가스를 포함하므로, 유기막에 대한 에칭, 특히 피에칭층의 표면을 따른 방향에 있어서의 유기막에 대한 에칭을 양호하게 행할 수 있다.
일 실시형태에서는, 공정 a는, 제1 영역을 제2 영역에 도달할 때까지 에칭한 후이며 제1 영역의 측면에 보호막을 컨포멀하게 형성하기 전에 있어서, 처리 용기 내에서 플라즈마를 발생시켜 처리 용기에 마련된 상부 전극에 음의 직류 전압을 인가함으로써, 제1 영역에 이차 전자를 조사(照射)하는 공정을 더 구비할 수 있다. 이와 같이, 공정 a에 있어서, 제1 영역을 제2 영역에 도달할 때까지 에칭한 후에, 제1 영역에 이차 전자를 조사하므로, 보호막의 형성 전에 제1 영역을 개질(改質)할 수 있어, 후속 공정에 의한 제1 영역의 손상을 억제할 수 있다.
일 실시형태에서는, 공정 b의 실행 전에 있어서, 제2 영역의 두께는, 10nm 이상 20nm 이하이다. 이와 같이, 제2 영역의 두께가 10nm 이상 20nm 이하이면, 공정 b에 있어서 제2 영역의 폭을 양호하게 조절할 수 있다.
이상 설명한 바와 같이, 유기막 등의 가공에 있어서 패턴 형상의 제어를 행할 수 있는 기술이 실현된다.
도 1은, 일 실시형태에 관한 방법의 일부분을 나타내는 흐름도이다.
도 2는, 플라즈마 처리 장치의 일례를 나타내는 도이다.
도 3은, (a)부, (b)부, (c)부, (d)부, 및 (e)부를 포함하고, 도 3의 (a)부는, 도 1에 나타내는 주요한 공정의 실시 전의 피처리체 상태를 나타내는 단면도이며, 도 3의 (b)부~(e)부는, 도 1에 나타내는 주요한 공정의 실시 후의 피처리체 상태를 나타내는 단면도이다.
도 4는, (a)부, (b)부, 및 (c)부를 포함하고, 도 4의 (a)부~(c)부는, 도 1에 나타내는 시퀀스의 실행에 의하여 막이 형성되는 모습을 모식적으로 나타낸다.
이하, 도면을 참조하여 다양한 실시형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
도 1은, 일 실시형태의 방법을 나타내는 흐름도이다. 도 1에 나타내는 일 실시형태의 방법(MT)은, 피처리체(이하, "웨이퍼"라고 하는 경우가 있음)를 처리하는 방법이다. 방법(MT)은, 도 1에 나타내는 바와 같이, 공정 ST1~ST4, 시퀀스(SQ), 공정 ST6~ST8을 구비한다. 시퀀스(SQ)는, 공정 ST5a~ST5d를 구비한다. 또, 일 실시형태의 방법(MT)은, 단일의 플라즈마 처리 장치(후술의 플라즈마 처리 장치(10))를 이용하여 실행하는 것이 가능하지만, 방법(MT)의 각 공정에 따라, 복수의 플라즈마 처리 장치(10)가 이용될 수 있다.
도 2는, 플라즈마 처리 장치의 일례를 나타내는 도이다. 도 2에는, 피처리체를 처리하는 방법의 다양한 실시형태로 이용 가능한 플라즈마 처리 장치(10)의 단면 구조가 개략적으로 나타나 있다. 도 2에 나타내는 바와 같이, 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이다.
플라즈마 처리 장치(10)는, 처리 용기(12), 배기구(12e), 반입출구(12g), 지지부(14), 재치대(PD), 직류 전원(22), 스위치(23), 냉매 유로(24), 배관(26a), 배관(26b), 상부 전극(30), 절연성 차폐 부재(32), 전극판(34), 가스 토출 구멍(34a), 전극 지지체(36), 가스 확산실(36a), 가스 통류 구멍(36b), 가스 도입구(36c), 가스 공급관(38), 가스 소스군(40), 밸브군(42), 유량 제어기군(45), 디포지션 실드(46), 배기 플레이트(48), 배기 장치(50), 배기관(52), 게이트 밸브(54), 제1 고주파 전원(62), 제2 고주파 전원(64), 정합기(66), 정합기(68), 전원(70), 제어부(Cnt), 포커스 링(FR), 히터 전원(HP), 히터(HT)를 구비한다. 재치대(PD)는, 정전 척(ESC), 하부 전극(LE)을 구비한다. 하부 전극(LE)은, 제1 플레이트(18a), 제2 플레이트(18b)를 구비한다. 처리 용기(12)는, 처리 공간(Sp)을 구획 형성한다.
처리 용기(12)는, 대략 원통 형상을 갖는다. 처리 용기(12)는, 예를 들면 알루미늄으로 구성된다. 처리 용기(12)의 내벽면은, 양극 산화 처리가 실시되어 있다. 처리 용기(12)는, 보안 접지된다.
지지부(14)는, 처리 용기(12)의 내측에 있어서, 처리 용기(12)의 바닥부 상에 마련된다. 지지부(14)는, 대략 원통형의 형상을 구비한다. 지지부(14)는, 예를 들면 절연 재료로 구성된다. 지지부(14)를 구성하는 절연 재료는, 석영과 같이 산소를 포함할 수 있다. 지지부(14)는, 처리 용기(12) 내에 있어서, 처리 용기(12)의 바닥부로부터 연직 방향으로 뻗어 있다.
재치대(PD)는, 처리 용기(12) 내에 마련된다. 재치대(PD)는, 지지부(14)에 의하여 지지된다. 재치대(PD)는, 재치대(PD)의 상면에 있어서, 웨이퍼(W)를 유지한다. 웨이퍼(W)는, 피처리체이다. 재치대(PD)는, 하부 전극(LE) 및 정전 척(ESC)을 갖는다.
하부 전극(LE)은, 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함한다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 예를 들면 알루미늄과 같은 금속으로 구성된다. 제1 플레이트(18a) 및 제2 플레이트(18b)는, 대략 원반상의 형상을 구비한다. 제2 플레이트(18b)는, 제1 플레이트(18a) 상에 마련된다. 제2 플레이트(18b)는, 제1 플레이트(18a)에 전기적으로 접속된다.
정전 척(ESC)은, 제2 플레이트(18b) 상에 마련된다. 정전 척(ESC)은, 한 쌍의 절연층의 사이, 또는 한 쌍의 절연 시트의 사이에 있어서 도전막의 전극을 배치한 구조를 갖는다. 직류 전원(22)은, 스위치(23)를 통하여, 정전 척(ESC)의 전극에 전기적으로 접속된다. 정전 척(ESC)은, 직류 전원(22)으로부터의 직류 전압에 의하여 발생한 쿨롱력 등의 정전력에 의하여, 웨이퍼(W)를 흡착한다. 이로써, 정전 척(ESC)은, 웨이퍼(W)를 유지할 수 있다.
포커스 링(FR)은, 웨이퍼(W)의 에지 및 정전 척(ESC)을 둘러싸도록, 제2 플레이트(18b)의 둘레 가장자리부 상에 배치된다. 포커스 링(FR)은, 에칭의 균일성을 향상시키기 위하여 마련된다. 포커스 링(FR)은, 에칭 대상의 막의 재료에 의하여 적절히 선택되는 재료로 구성되어 있으며, 예를 들면 석영으로 구성될 수 있다.
냉매 유로(24)는, 제2 플레이트(18b)의 내부에 마련된다. 냉매 유로(24)는, 온도 조절 기구를 구성한다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 마련되는 칠러 유닛으로부터 배관(26a)을 통하여 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는, 배관(26b)을 통하여 칠러 유닛으로 되돌아간다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전 척(ESC)에 의하여 지지되는 웨이퍼(W)의 온도가 제어된다. 가스 공급 라인(28)은, 전열가스 공급 기구로부터의 전열가스, 예를 들면 He 가스를, 정전 척(ESC)의 상면과 웨이퍼(W)의 이면의 사이에 공급한다.
히터(HT)는, 가열 소자이다. 히터(HT)는, 예를 들면 제2 플레이트(18b) 내에 매립된다. 히터 전원(HP)은, 히터(HT)에 접속된다. 히터 전원(HP)으로부터 히터(HT)에 전력이 공급됨으로써, 재치대(PD)의 온도가 조정되며, 그리고 당해 재치대(PD) 상에 재치되는 웨이퍼(W)의 온도가 조정된다. 또한, 히터(HT)는, 정전 척(ESC)에 내장될 수 있다.
상부 전극(30)은, 재치대(PD)의 상방에 있어서, 재치대(PD)와 대향 배치된다. 하부 전극(LE)과 상부 전극(30)은, 서로 대략 평행하게 마련된다. 상부 전극(30)과 하부 전극(LE)의 사이에는, 처리 공간(Sp)이 제공된다. 처리 공간(Sp)은, 플라즈마 처리를 웨이퍼(W)에 행하기 위한 공간 영역이다.
상부 전극(30)은, 절연성 차폐 부재(32)를 통하여, 처리 용기(12)의 상부에 지지된다. 절연성 차폐 부재(32)는, 절연 재료로 구성되어 있으며, 예를 들면 석영과 같이 산소를 포함할 수 있다. 상부 전극(30)은, 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은, 처리 공간(Sp)에 면하고 있다. 전극판(34)은, 복수의 가스 토출 구멍(34a)을 구비한다. 전극판(34)은, 일 실시형태에서는, 실리콘으로 구성될 수 있다. 다른 실시형태에서는, 전극판(34)은, 산화 실리콘으로 구성될 수 있다.
전극 지지체(36)는, 전극판(34)을 착탈 가능하도록 지지하는 것이며, 예를 들면 알루미늄과 같은 도전성 재료로 구성될 수 있다. 전극 지지체(36)는, 수랭 구조를 가질 수 있다. 가스 확산실(36a)은, 전극 지지체(36)의 내부에 마련된다. 복수의 가스 통류 구멍(36b)의 각각은, 가스 토출 구멍(34a)에 연통한다. 복수의 가스 통류 구멍(36b)의 각각은, 가스 확산실(36a)로부터 하방으로(재치대(PD) 측을 향하여) 뻗는다.
가스 도입구(36c)는, 가스 확산실(36a)에 대하여 처리 가스를 유도한다. 가스 도입구(36c)는, 전극 지지체(36)에 마련된다. 가스 공급관(38)은, 가스 도입구(36c)에 접속된다.
가스 소스군(40)은, 밸브군(42) 및 유량 제어기군(45)을 통하여, 가스 공급관(38)에 접속된다. 가스 소스군(40)은, 복수의 가스 소스를 갖는다. 복수의 가스 소스는, 아미노실란계 가스의 소스, 산소 가스의 소스, 수소 가스의 소스, 질소 가스의 소스, 이산화 탄소 가스의 소스, 플루오로카본 가스의 소스, 및 희가스의 소스를 포함할 수 있다. 아미노실란계 가스(후술의 제2 가스(G1)에 포함되는 가스)로서는, 아미노기의 수가 비교적 적은 분자 구조의 것이 이용될 수 있고, 예를 들면 모노아미노실란(H3-Si-R(R은 유기를 포함하며, 치환되어 있어도 되는 아미노기))이 이용될 수 있다. 상기의 아미노실란계 가스(후술의 제2 가스(G1)에 포함되는 가스)는, 1~3개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있고, 또는 1~3개의 아미노기를 갖는 아미노실란을 포함할 수 있다. 1~3개의 규소 원자를 갖는 아미노실란은, 1~3개의 아미노기를 갖는 모노실란(모노아미노실란), 1~3개의 아미노기를 갖는 다이실란, 또는 1~3개의 아미노기를 갖는 트라이실란일 수 있다. 또한 상기의 아미노실란은, 치환되어 있어도 되는 아미노기를 가질 수 있다. 또한 상기의 아미노기는, 메틸기, 에틸기, 프로필기, 및 뷰틸기 중 어느 하나에 의하여 치환될 수 있다. 또한 상기의 메틸기, 에틸기, 프로필기, 또는 부틸기는, 할로겐에 의하여 치환될 수 있다. 플루오로카본 가스로서는, CF4 가스, C4F6 가스, C4F8 가스와 같은 임의의 플루오로카본 가스가 이용될 수 있다. 또, 희가스로서는, He 가스, Ar 가스와 같은 임의의 희가스가 이용될 수 있다.
밸브군(42)은, 복수의 밸브를 포함한다. 유량 제어기군(45)은, 매스 플로 컨트롤러와 같은 복수의 유량 제어기를 포함한다. 가스 소스군(40)의 복수의 가스 소스의 각각은, 밸브군(42)의 대응의 밸브, 및 유량 제어기군(45)의 대응의 유량 제어기를 통하여, 가스 공급관(38)에 접속된다. 따라서, 플라즈마 처리 장치(10)는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 하나 이상의 가스 소스로부터의 가스를, 개별적으로 조정된 유량으로, 처리 용기(12) 내에 공급하는 것이 가능하다. 또, 플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라 디포지션 실드(46)가 착탈 가능하도록 마련되어 있다. 디포지션 실드(46)는, 지지부(14)의 외주에도 마련되어 있다. 디포지션 실드(46)는, 처리 용기(12)에 에칭 부생물(디포지트)이 부착하는 것을 방지하는 것이며, 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 디포지션 실드는, Y2O3 외에, 예를 들면 석영과 같이 산소를 포함하는 재료로 구성될 수 있다.
배기 플레이트(48)는, 처리 용기(12)의 바닥부 측이고, 또한 지지부(14)와 처리 용기(12)의 측벽과의 사이에 마련되어 있다. 배기 플레이트(48)는, 예를 들면 알루미늄재에 Y2O3 등의 세라믹스를 피복함으로써 구성될 수 있다. 배기구(12e)는, 배기 플레이트(48)의 하방에 있어서, 처리 용기(12)에 마련되어 있다. 배기 장치(50)는, 배기관(52)을 통하여 배기구(12e)에 접속된다. 배기 장치(50)는, 터보 분자 펌프 등의 진공 펌프를 갖고, 처리 용기(12) 내의 공간을 원하는 진공도까지 감압할 수 있다. 반입출구(12g)는, 웨이퍼(W)의 반입출구이다. 반입출구(12g)는, 처리 용기(12)의 측벽에 마련된다. 반입출구(12g)는, 게이트 밸브(54)에 의하여 개폐 가능하다.
제1 고주파 전원(62)은, 플라즈마 생성용 제1 고주파 전력을 발생시키는 전원이며, 27~100[MHz]의 주파수, 일례에 있어서는 40[MHz]의 고주파 전력을 발생시킨다. 제1 고주파 전원(62)은, 정합기(66)를 통하여 상부 전극(30)에 접속된다. 정합기(66)는, 제1 고주파 전원(62)의 출력 임피던스와 부하 측(하부 전극(LE) 측)의 입력 임피던스를 정합시키기 위한 회로이다. 또한, 제1 고주파 전원(62)은, 정합기(66)를 통하여 하부 전극(LE)에 접속될 수도 있다.
제2 고주파 전원(64)은, 웨이퍼(W)에 이온을 끌어 들이기 위한 제2 고주파 전력, 즉 고주파 바이어스 전력을 발생시키는 전원이며, 400[kHz]~40.68[MHz]의 범위 내의 주파수, 일례에 있어서는 3.2[MHz]의 고주파 바이어스 전력을 발생시킨다. 제2 고주파 전원(64)은, 정합기(68)를 통하여 하부 전극(LE)에 접속된다. 정합기(68)는, 제2 고주파 전원(64)의 출력 임피던스와 부하 측(하부 전극(LE) 측)의 입력 임피던스를 정합시키기 위한 회로이다. 또, 전원(70)은, 상부 전극(30)에 접속된다. 전원(70)은, 처리 공간(Sp) 내에 존재하는 양이온을 전극판(34)으로 끌어들이기 위한 전압을, 상부 전극(30)에 인가한다. 일례에 있어서는, 전원(70)은, 음의 직류 전압을 발생시키는 직류 전원이다. 이와 같은 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간(Sp)에 존재하는 양이온이, 전극판(34)에 충돌한다. 이로써, 전극판(34)에서 이차 전자 및/또는 실리콘이 방출된다.
제어부(Cnt)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 플라즈마 처리 장치(10)의 각부를 제어한다. 구체적으로, 제어부(Cnt)는, 밸브군(42), 유량 제어기군(45), 배기 장치(50), 제1 고주파 전원(62), 정합기(66), 제2 고주파 전원(64), 정합기(68), 전원(70), 히터 전원(HP), 및 칠러 유닛에 접속되어 있다.
제어부(Cnt)는, 입력된 레시피에 근거하는 프로그램에 따라 동작하여, 제어 신호를 송출한다. 제어부(Cnt)로부터의 제어 신호에 따라, 가스 소스군으로부터 공급되는 가스의 선택 및 유량과, 배기 장치(50)의 배기와, 제1 고주파 전원(62) 및 제2 고주파 전원(64)으로부터의 전력 공급과, 전원(70)으로부터의 전압 인가와, 히터 전원(HP)의 전력 공급과, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도를 제어하는 것이 가능하다. 또한, 본 명세서에 있어서 개시되는 피처리체를 처리하는 방법(도 1에 나타내는 방법(MT))의 각 공정은, 제어부(Cnt)에 의한 제어에 의하여 플라즈마 처리 장치(10)의 각부를 동작시킴으로써, 실행될 수 있다.
다음으로, 일 실시형태에 관한 방법(MT)을, 도 1을 참조하여 상세하게 설명한다. 이하의 설명에 있어서, 도 1 및 도 2와 함께, 도 3, 도 4를 참조한다. 도 3의 (a)부는, 도 1에 나타내는 주요한 공정의 실시 전의 피처리체 상태를 나타내는 단면도이다. 도 3의 (b)부~(e)부는, 도 1에 나타내는 주요한 공정의 실시 후의 피처리체 상태를 나타내는 단면도이다. 도 4의 (a)부~(c)부는, 도 1에 나타내는 시퀀스의 실행에 의하여 막이 형성되는 모습을 모식적으로 나타낸다.
공정 ST1에 있어서, 도 3의 (a)부에 나타내는 웨이퍼(W)를, 도 2에 나타내는 웨이퍼(W)로서 준비한다. 공정 ST1에서는, 도 3의 (a)부에 나타내는 웨이퍼(W)가 준비되고, 웨이퍼(W)가 플라즈마 처리 장치(10)의 처리 용기(12) 내에 수용되며, 재치대(PD) 상에 재치된다. 공정 ST1에 있어서 준비되는 웨이퍼(W)는, 도 3의 (a)부에 나타내는 바와 같이, 기판(SB), 피에칭층(EL), 유기막(OL), 반사 방지막(AL), 및 마스크(MK1)를 갖는다.
피에칭층(EL)은, 기판(SB) 상에 마련된다. 피에칭층(EL)은, 유기막(OL)에 대하여 선택적으로 에칭되는 재료로 구성되는 층이며 절연막이 이용된다. 피에칭층(EL)은, 예를 들면 산화 실리콘(SiO2)으로 구성될 수 있다. 또한, 피에칭층(EL)은, 다결정 실리콘, 실리콘 나이트라이드(SiN)와 같은 다른 재료로 구성될 수 있다.
유기막(OL)은, 피에칭층(EL) 상에 마련된다. 유기막(OL)은, 예를 들면 탄소 또는 실리콘을 포함하는 층이며 SOH(스핀 온 하드마스크)층일 수 있다. 유기막(OL)은, 후술하는 보호막(SX)의 재료(실리콘 산화막)에 대하여 선택적으로 에칭이 가능한 재료이면, 상기의 SOH에 한정되지 않는다. 예를 들면, 유기막(OL)의 재료로서는, 상기의 SOH 외에, SiN, 다결정 실리콘, 어모퍼스 실리콘 등일 수 있다. 반사 방지막(AL)은, 실리콘 함유의 반사 방지막이며, 유기막(OL) 상에 마련된다.
또한, 이하의 설명에 있어서는, 편의상을 위하여, 유기막(OL)을 제1 영역(VL1)과 제2 영역(VL2)으로 나눈다. 즉, 유기막(OL)은, 제1 영역(VL1)과 제2 영역(VL2)에 의하여 구성되는 것으로 한다. 제1 영역(VL1)과 제2 영역(VL2)의 경계면은 물리적인 면은 아니고 가상적인 면이다. 제1 영역(VL1)과 제2 영역(VL2)은, 동일한 재료로 이루어지고, 웨이퍼(W)의 표면을 따라 뻗어 있다. 제2 영역(VL2)의 두께(LM)는, 예를 들면 10[nm] 이상 20[nm] 이하 정도이다. 마스크(MK1)는 제1 영역(VL1) 상에 마련되고, 제1 영역(VL1)은 제2 영역(VL2) 상에 마련되며, 제2 영역(VL2)은 피에칭층(EL) 상에 마련된다.
마스크(MK1)는, 반사 방지막(AL) 상에 마련된다. 마스크(MK1)는, 레지스트 재료로 구성된 레지스트 마스크이며, 포토리소그래피 기술에 의하여 레지스트 층이 패터닝됨으로써 제작된다. 마스크(MK1)는, 반사 방지막(AL)을 부분적으로 가리고 있다. 마스크(MK1)는, 반사 방지막(AL)을 부분적으로 노출시키는 개구(패턴)를 구획 형성하고 있다. 마스크(MK1)의 패턴은, 예를 들면 라인·앤드·스페이스 패턴이다. 마스크(MK1)는, 평면시에 있어서 원형의 개구를 제공하는 패턴을 가질 수 있다. 혹은, 마스크(MK1)는, 평면시에 있어서 타원 형상의 개구를 제공하는 패턴을 가질 수 있다.
공정 ST1에 이어지는 공정 ST2에서는, 반사 방지막(AL)을 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 플루오로카본 가스를 포함하는 처리 가스로서 처리 용기(12) 내에 공급한다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이로써, 플루오로카본 가스를 포함하는 처리 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 반사 방지막(AL)의 전체 영역 중 마스크(MK1)로부터 노출된 영역을 에칭한다. 이로써, 반사 방지막(AL)으로부터 마스크(ALM)가 형성된다. 마스크(ALM)는, 유기막(OL)에 대한 에칭에 있어서 마스크로서 이용된다.
공정 ST2에 이어지는 공정 ST3에서는, 웨이퍼(W)가 수용된 플라즈마 처리 장치(10)의 처리 용기(12) 내에 있어서, 제1 가스의 플라즈마를 생성하고, 그 플라즈마와 마스크(ALM)를 이용하여 제1 영역(VL1)을 제2 영역(VL2)에 도달할 때까지 에칭하며, 제1 영역(VL1)으로부터 마스크(OLM1)를 형성한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 수소 가스와 질소 가스를 포함하는 제1 가스를 처리 가스로서 처리 용기(12) 내에 공급한다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 압력을 소정의 압력으로 설정한다. 이상과 같이 하여, 제1 가스의 플라즈마가 처리 용기(12)의 처리 공간(Sp) 내에서 생성된다. 생성된 플라즈마 중의 수소의 활성종인 수소 라디칼은, 유기막(OL)의 전체 영역 중, 공정 ST2에서 반사 방지막(AL)으로부터 형성된 마스크(ALM)로부터 노출된 영역을 에칭한다.
공정 ST3에서는, 도 3의 (b)부에 나타내는 바와 같이, 유기막(OL) 중, 제1 영역(VL1)을 에칭, 즉 유기막(OL)과 피에칭층(EL)의 계면(피에칭층(EL)의 표면(FC))으로부터 두께(LM)에 도달할 때까지 유기막(OL)을 에칭한다. 바꾸어 말하면, 공정 ST3에서는, 두께(LM)의 막이 남도록, 즉 제2 영역(VL2)이 남도록, 유기막(OL)을 에칭한다. 공정 ST3에 의하여, 마스크(OLM1)와 유기막(OL1)이 유기막(OL)으로부터 형성된다. 유기막(OL1)은, 유기막(OL) 중 공정 ST3에서 에칭되지 않고 남는 부분이며, 유기막(OL)의 제2 영역(VL2)이다. 유기막(OL1)은, 피에칭층(EL)의 표면(FC) 상에 마련되어 있다. 유기막(OL1)은, 두께(LM)를 갖는다. 마스크(OLM1)는, 유기막(OL1) 상에 마련되어 있다. 마스크(ALM) 및 마스크(OLM1)는, 유기막(OL1)에 대한 에칭에 있어서 마스크로서 이용된다. 또, 수소 가스와 질소 가스를 포함하는 제1 가스에 의하여, 유기막(OL)의 제1 영역(VL1)의 측면의 보호와 유기막(OL)에 대한 에칭이 양호하게 양립할 수 있으므로, 공정 ST3에 의하여 유기막(OL)의 제1 영역(VL1)으로부터 형성되는 마스크(OLM1)의 수직성이 양호하게 실현될 수 있다.
공정 ST3에 이어지는 공정 ST4에서는, 보호막(SX)을 마스크(OLM1)의 측면(SF)에 컨포멀하게 형성하는 일련의 공정(공정 ST3으로부터 공정 ST7까지의 일련의 공정)은, 유기막(OL)의 제1 영역(VL1)을 제2 영역(VL2)에 도달할 때까지 에칭한 후(공정 ST3의 후)이며 마스크(OLM1)의 측면(SF)에 보호막(SX)을 컨포멀하게 형성하기 전에 있어서, 처리 용기(12) 내에서 플라즈마를 발생시켜 처리 용기(12)에 마련된 상부 전극(30)에 음의 직류 전압을 인가함으로써, 마스크(ALM)의 표면과 마스크(OLM1)의 측면(SF)에, 이차 전자를 조사하고, 산화 실리콘의 보호막을 형성한다. 또한, 방법(MT)은 공정 ST3의 실행 후에, 공정 ST4를 실행하지 않고 공정 ST5a(시퀀스(SQ))를 실행할 수도 있다.
공정 ST4에 이어서, 도 1에 나타내는 방법(MT)에서는, 시퀀스(SQ)를 1회 이상 실행한다. 공정 ST4의 실행 후에 있어서, 시퀀스(SQ)의 개시로부터 후술의 공정 ST7에 도달할 때까지의 일련의 공정은, 마스크(OLM1)의 측면(SF)에 보호막(SX)을 컨포멀하게 형성하는 공정이다. 시퀀스(SQ)는, 공정 ST5a, 공정 ST5b, 공정 ST5c, 및 공정 ST5d를 포함한다.
먼저, 공정 ST5a에서는, 처리 용기(12) 내에, 실리콘을 함유하는 제2 가스(G1)를 도입한다. 제2 가스(G1)는, 아미노실란계 가스를 포함한다. 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 제2 가스(G1)를 처리 용기(12) 내에 공급한다. 제2 가스(G1)는, 아미노실란계 가스로서 모노아미노실란(H3-Si-R(R은 아미노기))이 이용된다. 공정 ST5a에서는, 제2 가스(G1)의 플라즈마를 생성하지 않는다.
도 4의 (a)부에 나타내는 바와 같이, 제2 가스(G1)의 분자가 반응 전구체로서 웨이퍼(W)의 표면에 부착한다. 제2 가스(G1)의 분자(모노아미노실란)는, 화학 결합에 근거하는 화학 흡착에 의하여 웨이퍼(W)의 표면에 부착하는 것이며, 플라즈마는 이용되지 않는다. 또한, 당해 온도 범위에서 화학 결합에 의하여 표면에 부착 가능하며, 또한 실리콘을 함유하는 것이면, 모노아미노실란 이외의 가스의 이용도 가능하다.
제2 가스(G1)에 모노아미노실란계 가스가 선택되는 이유는, 모노아미노실란이 비교적 높은 전기 음성도를 갖고, 또한 극성을 갖는 분자 구조를 가짐으로써 화학 흡착이 비교적 용이하게 행해질 수 있다는 것에 기인한다. 제2 가스(G1)의 분자가 웨이퍼(W)의 표면에 부착함으로써 형성되는 층(Ly1)은, 당해 부착이 화학 흡착이기 때문에 단분자층(단층)에 가까운 상태가 된다. 모노아미노실란의 아미노기(R)가 작을수록, 웨이퍼(W)의 표면에 흡착되는 분자의 분자 구조도 작아지므로, 분자의 크기에 기인하는 입체 장애가 저감되므로, 따라서, 제2 가스(G1)의 분자가 웨이퍼(W)의 표면에 균일하게 흡착할 수 있으며, 층(Ly1)은 웨이퍼(W)의 표면에 대하여 균일한 막 두께로 형성될 수 있다. 예를 들면, 제2 가스(G1)에 포함되는 모노아미노실란(H3-Si-R)이 웨이퍼(W)의 표면의 OH기와 반응함으로써, 반응 전구체의 H3-Si-O가 형성되고, 따라서, H3-Si-O의 단분자층인 층(Ly1)이 형성된다. 따라서, 웨이퍼(W)의 표면에 대하여, 반응 전구체의 층(Ly1)이, 웨이퍼(W)의 패턴 밀도에 의존하지 않고, 균일한 막 두께로 컨포멀하게 형성될 수 있다.
공정 ST5a에 이어지는 공정 ST5b에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST5a에 있어서 공급된 제2 가스(G1)가 배기된다. 공정 ST5b에서는, 퍼지 가스로서 질소 가스와 같은 불활성 가스를 처리 용기(12)에 공급해도 된다. 즉, 공정 ST5b의 퍼지는, 불활성 가스를 처리 용기(12) 내로 흘려 보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다. 공정 ST5b에서는, 웨이퍼(W) 상에 과도하게 부착된 분자도 제거될 수 있다. 이상에 의하여, 반응 전구체의 층(Ly1)은 매우 얇은 단분자층이 된다.
공정 ST5b에 이어지는 공정 ST5c에서는, 처리 용기(12) 내에 있어서 제3 가스의 플라즈마(P1)를 생성한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 이산화 탄소 가스를 포함하는 제3 가스를 처리 용기(12) 내에 공급한다. 제3 가스는, 이산화 탄소 가스 외에, 산소 원자를 함유하는 다른 가스일 수 있고, 예를 들면 산소 가스일 수도 있다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 이 경우, 제2 고주파 전원(64)의 바이어스 전력을 인가할 수도 있다. 또, 제1 고주파 전원(62)을 이용하지 않고 제2 고주파 전원(64)만을 이용하여 플라즈마를 생성할 수도 있다. 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다.
상술한 바와 같이 공정 ST5a의 실행에 의하여 웨이퍼(W)의 표면에 부착한 분자(층(Ly1)의 단분자층을 구성하는 분자)는, 실리콘과 수소의 결합을 포함한다. 실리콘과 수소의 결합 에너지는, 실리콘과 산소의 결합 에너지보다 낮다. 따라서, 도 4의 (b)부에 나타내는 바와 같이, 이산화 탄소 가스를 포함하는 제3 가스의 플라즈마(P1)가 생성되면, 산소의 활성종, 예를 들면 산소 라디칼이 생성되고, 층(Ly1)의 단분자층을 구성하는 분자의 수소가 산소로 치환되어, 도 4의 (c)부에 나타내는 바와 같이, 실리콘 산화막인 층(Ly2)이 단분자층으로서 형성된다.
공정 ST5c에 이어지는 공정 ST5d에서는, 처리 용기(12) 내의 공간을 퍼지한다. 구체적으로는, 공정 ST5c에 있어서 공급된 제3 가스가 배기된다. 공정 ST5d에서는, 퍼지 가스로서 질소 가스와 같은 불활성 가스가 처리 용기(12)에 공급해도 된다. 즉, 공정 ST5d의 퍼지는, 불활성 가스를 처리 용기(12) 내에 흘려보내는 가스 퍼지, 또는 진공 배기에 의한 퍼지 중 어느 것이어도 된다.
이상 설명한 시퀀스(SQ)에 있어서는, 공정 ST5b에 있어서 퍼지가 행해지고, 공정 ST5b에 이어지는 공정 ST5c에 있어서 층(Ly1)을 구성하는 분자의 수소가 산소로 치환된다. 따라서, ALD법과 동일하게, 1회의 시퀀스(SQ)의 실행에 의하여, 실리콘 산화막의 층(Ly2)을, 웨이퍼(W)의 표면 상(특히 마스크(OLM1)의 측면(SF) 상)에, 마스크(MK1)의 패턴의 소밀에 상관없이 얇고 균일한 막 두께로 컨포멀하게 형성할 수 있다.
시퀀스(SQ)에 이어지는 공정 ST6에서는, 시퀀스(SQ)의 실행을 종료할지 여부를 판정한다. 구체적으로는, 공정 ST6에서는, 시퀀스(SQ)의 실행 횟수가 소정 횟수에 이르렀는지 여부를 판정한다. 시퀀스(SQ)의 실행 횟수의 결정은, 도 3의 (c)부에 나타내는 웨이퍼(W) 상(특히 마스크(OLM1)의 측면(SF) 상)에 형성되는 실리콘 산화막의 보호막(SX)의 두께를 결정하는 것이다. 즉, 1회의 시퀀스(SQ)의 실행에 의하여 형성되는 실리콘 산화막의 막 두께와 시퀀스(SQ)의 실행 횟수와의 곱에 의하여, 최종적으로 웨이퍼(W) 상에 형성되는 보호막(SX)의 두께가 실질적으로 결정된다. 따라서, 웨이퍼(W) 상에 형성되는 보호막(SX)의 원하는 두께에 따라, 시퀀스(SQ)의 실행 횟수가 설정된다.
공정 ST6에 있어서 시퀀스(SQ)의 실행 횟수가 소정 횟수에 도달하지 않았다고 판정되는 경우에는(공정 ST6: NO), 시퀀스(SQ)의 실행이 다시 반복된다. 한편, 공정 ST6에 있어서 시퀀스(SQ)의 실행 횟수가 소정 횟수에 도달했다고 판정되는 경우에는(공정 ST6: YES), 시퀀스(SQ)의 실행이 종료된다. 이로써, 도 3의 (c)부에 나타내는 바와 같이, 웨이퍼(W)의 표면 상(특히 마스크(OLM1)의 측면(SF) 상)에 실리콘 산화막의 보호막(SX)이 형성된다. 즉, 시퀀스(SQ)의 실행 횟수가 소정 횟수만큼 반복됨으로써, 소정의 막 두께를 갖는 보호막(SX)이, 마스크(MK1)의 패턴의 소밀에 상관없이 균일한 막 두께로 컨포멀하게, 웨이퍼(W)의 표면(특히 마스크(OLM1)의 측면(SF))에 형성된다. 마스크(OLM1)의 측면(SF)에 있어서의 보호막(SX)의 두께는, 시퀀스(SQ)의 실행 횟수가 적을수록 감소한다. 또, 마스크(OLM1)의 측면(SF)에 있어서의 보호막(SX)의 두께는, 마스크(OLM1)의 상단(마스크(ALM)가 마련되어 있는 측의 마스크(OLM1)의 일단)으로부터 마스크(OLM1)의 하단(유기막(OL1)이 마련되어 있는 측의 마스크(OLM1)의 타단)으로 향하여 감소한다.
보호막(SX)은, 도 3의 (c)부에 나타내는 바와 같이, 영역(R1), 영역(R2), 및 영역(R3)을 포함한다. 영역(R3)은, 마스크(ALM)의 측면 상, 및 마스크(OLM1)의 측면(SF) 상에 있어서, 각 측면을 따라 뻗는 영역이다. 영역(R3)은, 공정 ST3에 의하여 형성된 유기막(OL1)의 표면에서 영역(R1)의 하측까지 뻗어있다. 영역(R1)은, 마스크(ALM)의 상면의 위 및 영역(R3) 상에서 뻗어있다. 영역(R2)은, 인접하는 영역(R3)의 사이에서, 또한 공정 ST3에서 형성된 유기막(OL1)의 표면 상에서 뻗어있다. 상술한 것처럼, 시퀀스(SQ)가 반복됨으로써, ALD법과 동일하게 보호막(SX)이 형성되므로, 마스크(MK1)의 패턴의 소밀에 상관없이, 영역(R1), 영역(R2), 및 영역(R3)의 각각의 막 두께는, 서로 대략 동일한 막 두께가 된다.
공정 ST6: YES에 이어지는 공정 ST7에서는, 영역(R1) 및 영역(R2)을 제거하도록, 보호막(SX)을 에칭(에치백)한다. 공정 ST7에서는, 시퀀스(SQ)를 반복 실행한 후에 처리 용기(12) 내에 있어서 제4 가스의 플라즈마를 생성하고, 시퀀스(SQ)를 반복 실행함으로써 특히 제2 영역(VL2)의 표면에 형성된 막(보호막(SX)의 영역(R2))을, 그 플라즈마를 이용하여 제거(에치백)한다. 공정 ST7에서는, 보호막(SX)의 영역(R2)이 제거됨과 함께, 영역(R1)도 제거된다. 영역(R1) 및 영역(R2)의 제거를 위해서는, 이방성의 에칭 조건이 필요하다. 이로 인하여, 공정 ST7에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 불소를 함유하는 제4 가스를 포함하는 처리 가스를 처리 용기(12) 내에 공급한다. 제4 가스는, 불소를 함유하는 가스이며, 예를 들면 플루오로카본 가스일 수 있다. 그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 공간의 압력을 소정의 압력으로 설정한다. 이로써, 플루오로카본 가스의 플라즈마가 생성된다. 생성된 플라즈마 중의 불소를 포함하는 활성종은, 고주파 바이어스 전력에 의한 연직 방향으로의 끌어들임에 의하여, 영역(R1) 및 영역(R2)을 우선적으로 에칭한다. 그 결과, 도 3의 (d)부에 나타내는 바와 같이, 영역(R1) 및 영역(R2)이 선택적으로 제거되고 남겨진 영역(R3)과, 마스크(OLM1)에 의하여 마스크(MK2)가 형성된다. 마스크(MK2)는, 영역(R3)과 마스크(OLM1)와 함께, 마스크(ALM)를 더 포함할 수 있다. 마스크(MK2)는, 유기막(OL)의 제2 영역(VL2)에 대한 에칭에 있어서 마스크로서 이용된다.
공정 ST7에 이어지는 공정 ST8에서는, 마스크(MK2)를 이용하여, 마스크(OLM1)의 형상을 유지하면서, 제2 영역(VL2)을 피에칭층(EL)에 도달할 때까지 에칭하고, 제2 영역(VL2)으로부터 마스크(OLM2)를 형성한다. 공정 ST8에 있어서의 제2 영역(VL2)의 에칭에서는, 처리 용기(12) 내에 있어서, 제5 가스의 플라즈마를 생성하고, 그 플라즈마와 마스크(MK2)(마스크(OLM1) 및 영역(R3)을 포함함)를 이용하여, 제2 영역(VL2)을 에칭한다. 공정 ST8은, 공정 ST8a 및 공정 ST8b를 포함한다. 공정 ST8에서는, 먼저 공정 ST7에 이어지는 공정 ST8a가 실행되고, 공정 ST8a에 이어지는 공정 ST8b가 실행된다. 구체적으로는, 공정 ST8a와 공정 ST8b 중 어느 공정에 있어서도, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 산소 가스를 포함하는 제5 가스를 처리 가스로서 처리 용기(12) 내에 공급한다. 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 이로써, 제5 가스의 플라즈마가 생성된다. 그리고, 배기 장치(50)를 동작시킴으로써, 처리 용기(12) 내의 공간의 압력을, 공정 ST8a 및 공정 ST8b의 각각에 따른 소정의 압력으로 설정한다.
공정 ST8a의 프로세스 조건과 공정 ST8b의 프로세스 조건의 상이점은, 처리 용기(12) 내의 공간의 압력 및 처리 시간뿐이다. 공정 ST8a의 실행 기간은, 처리 용기(12) 내의 압력이 제1 압력이 되는 기간이며, 공정 ST8b의 실행 기간은, 공정 ST8a의 실행 기간 후에 있어서, 처리 용기(12) 내의 압력이 제2 압력이 되는 기간이다. 공정 ST8b에 있어서 설정되는 처리 용기(12) 내의 공간의 제2 압력은, 공정 ST8a에 있어서 설정되는 처리 용기(12) 내의 공간의 제1 압력보다 높다. 처리 용기(12) 내의 공간의 압력이 비교적 높은 공정 ST8b에 의하여, 도 3의 (e)에 나타내는 바와 같이, 마스크(OLM1)의 폭(PM1)을 유지한 상태로, 마스크(OLM2)의 폭(PM2)을 제어할 수 있다. 공정 ST8b의 처리 시간은, 공정 ST8에 의하여 형성되는 마스크(OLM2)의 폭에 따라 조절 가능하고, 예를 들면 공정 ST8a의 처리 시간과 동일하게 할 수 있거나, 또는 공정 ST8a의 처리 시간보다 길게 할 수 있다. 공정 ST8b의 처리 시간이 증가하면, 마스크(OLM2)의 폭은 감소한다.
공정 ST8에 의하여, 도 3의 (e)부에 나타내는 바와 같이, 유기막(OL1)이 피에칭층(EL)의 표면(FC)에 도달할 때까지 에칭되어, 유기막(OL1)으로부터 마스크(OLM2)가 형성된다. 마스크(OLM2)는, 피에칭층(EL)의 표면(FC)에 마련되고, 마스크(OLM2) 상에는 마스크(OLM1)가 마련되어 있다. 마스크(OLM2)는, 마스크(OLM1)와 피에칭층(EL)의 사이에 마련되어 있다. 마스크(ALM), 마스크(OLM1), 및 마스크(OLM2)는, 피에칭층(EL)에 대한 에칭에 있어서 마스크로서 이용된다.
이하, 공정 ST2~공정 ST4, 공정 ST5a, 공정 ST5c, 공정 ST7, 공정 ST8a, 공정 ST8b, 및 시퀀스(SQ)의 각각의 주된 프로세스 조건의 실시예를 나타낸다.
<공정 ST2>
·처리 용기(12) 내의 압력[mTorr]: 50[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 500[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 300[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스: CF4 가스
·처리 가스의 유량[sccm]: 600[sccm]
·처리 시간[s]: 28[s]
<공정 ST3>
·처리 용기(12) 내의 압력[mTorr]: 20[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 500[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 400[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스(제1 가스): N2/H2 가스
·처리 가스의 유량[sccm]: (N2 가스) 200[sccm], (H2 가스) 200[sccm]
·처리 시간[s]: 40[s]
<공정 ST4>
·처리 용기(12) 내의 압력[mTorr]: 50[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 300[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 0[W]
·전원(70)의 직류 전압의 값[V]: -900[V]
·처리 가스: H2/Ar 가스
·처리 가스의 유량[sccm]: (H2 가스) 100[sccm], (Ar 가스) 800[sccm]
·처리 시간[s]: 60[s]
<공정 ST5a>
·처리 용기(12) 내의 압력[mTorr]: 100[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 0[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 0[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스(제2 가스): 모노아미노실란(H3-Si-R(R은 아미노기)
·처리 가스의 유량[sccm]: 50[sccm]
·처리 시간[s]: 15[s]
<공정 ST5c>
·처리 용기(12) 내의 압력[mTorr]: 200[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 300[W], 10[kHz], Duty 50
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 0[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스: CO2 가스
·처리 가스의 유량[sccm]: 300[sccm]
·처리 시간[s]: 5[s]
또한, 공정 ST5c에서는, 상기의 프로세스 조건 아래에서 행하는 처리의 실행 전에, 이하의 처리가 실행된다.
·처리 용기(12) 내의 압력[mTorr]: 0[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 0[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 0[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스(제3 가스): CO2 가스
·처리 가스의 유량[sccm]: 300[sccm]
·처리 시간[s]: 10[s]
<공정 ST7>
·처리 용기(12) 내의 압력[mTorr]: 20[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 100[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 100[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스: CF4/Ar 가스
·처리 가스의 유량[sccm]: (CF4 가스) 50[sccm], (Ar 가스) 300[sccm]
·처리 시간[s]: 25[s]
<공정 ST8a>
·처리 용기(12) 내의 압력[mTorr](제1 압력): 20[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 300[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 0[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스(제5 가스): O2 가스
·처리 가스의 유량[sccm]: 1000[sccm]
·처리 시간[s]: 40[s]
<공정 ST8b>
·처리 용기(12) 내의 압력[mTorr](제2 압력): 60[mTorr]
·제1 고주파 전원(62)의 고주파 전력의 값[W]: 300[W]
·제2 고주파 전원(64)의 고주파 전력의 값[W]: 0[W]
·전원(70)의 직류 전압의 값[V]: 0[V]
·처리 가스(제5 가스): O2 가스
·처리 가스의 유량[sccm]: 1000[sccm]
·처리 시간[s]: 30[s]
<시퀀스(SQ)>
·반복 횟수: 10회
이상으로 설명한 것처럼, 방법(MT)에서는, 유기막(OL)을 에칭하는 공정이 2개의 공정 ST3 및 공정 ST8로 나누어져 있다. 유기막(OL)을 에칭하는 1번째 공정 ST3에서는, 유기막(OL) 중 제1 영역(VL1)을 제1 가스의 플라즈마에 의하여 에칭하고, 마스크(OLM1)의 측면(SF)에 보호막(SX)을 미리 형성하며(시퀀스(SQ)로부터 공정 ST7), 이 후에, 유기막(OL)을 에칭하는 2번째 공정 ST8에서는, 마스크(OLM1)와 보호막(SX)을 이용하고, 마스크(OLM1)의 형상을 유지하면서, 유기막(OL) 중 잔여의 제2 영역(VL2)을 피에칭층(EL)에 도달할 때까지 에칭한다. 이와 같이, 유기막(OL)의 에칭에 있어서, 공정 ST8에서는, 마스크(OLM1)의 폭(PM1)의 에칭에 의한 감소가 보호막(SX)에 의하여 억제될 수 있으므로, 공정 ST8에 있어서 마스크(OLM2)의 폭(PM2)이 마스크(OLM1)의 폭(PM1)과는 독립적으로 제어될 수 있다. 즉, 유기막(OL)의 Top CD(마스크(OLM1)의 폭(PM1)에 대응)와 Bottom CD(마스크(OLM2)의 폭(PM2)에 대응)를 독립적으로 제어할 수 있다.
또, 수소 가스와 질소 가스를 포함하는 제1 가스의 플라즈마에 의하여, 유기막(OL)에 대한 에칭을 양호한 수직성으로 행할 수 있고, 따라서 에칭에 의한 패턴 폭의 변동이 억제될 수 있다.
또, 보호막(SX)이 산화막이므로, 산화막에 대하여 고선택비의 에칭이 제2 영역(VL2)에 대하여 행해지는 경우에는, 제2 영역(VL2)에 대한 에칭양(특히, 피에칭층(EL)의 표면(FC)을 따른 방향에 있어서의 에칭양)이 양호하게 제어될 수 있다.
또, 시퀀스(SQ)로부터 공정 ST7까지의 일련의 공정은, ALD(Atomic Layer Deposition)법과 동일한 방법에 의하여, 마스크(OLM1)의 측면(SF)에 보호막(SX)이 컨포멀하게 형성되므로, 마스크(OLM1)에 대한 보호의 강도가 향상됨과 함께, 마스크(OLM1)를 보호하는 보호막(SX)을 균일한 막 두께로 형성할 수 있다.
또, 제2 가스가 아미노실란계 가스를 포함하므로, 공정 ST5a에 의하여, 실리콘의 반응 전구체가 마스크(OLM1)의 측면(SF) 등의 원자층을 따라 마스크(OLM1) 등의 위에 형성된다.
또, 모노아미노실란을 포함하는 제2 가스를 이용하여, 공정 ST5a에 있어서 실리콘의 반응 전구체의 형성을 행할 수 있다.
또, 제2 가스에 포함되는 아미노실란계 가스에는, 1~3개의 규소 원자를 갖는 아미노실란을 이용할 수 있다. 또, 제2 가스에 포함되는 아미노실란계 가스에는, 1~3개의 아미노기를 갖는 아미노실란을 이용할 수 있다.
또, 제3 가스가 산소 원자를 포함하므로, 공정 6c에 있어서, 당해 산소 원자가 마스크(OLM1) 등의 위에 마련되는 실리콘의 반응 전구체와 결합함으로써, 마스크(OLM1) 등의 위에 산화 실리콘의 보호막(보호막(SX))이 컨포멀하게 형성될 수 있다. 또, 제3 가스가 이산화 탄소 가스인 경우, 제3 가스가 탄소 원자를 포함하므로, 산소 원자에 의한 마스크(OLM1) 등에 대한 침식이 당해 탄소 원자에 의하여 억제될 수 있다.
또, 시퀀스(SQ)를 반복 실행함으로써 형성되는 막에 대한 에칭이 불소를 포함하는 제4 가스의 플라즈마를 이용하여 이방적으로 행해지고, 제2 영역(VL2)의 표면에 형성된 막(보호막(SX)의 영역(R2))이 선택적으로 제거될 수 있으므로, 이 제거 후에 있어서, 제2 영역(VL2)에 대한 에칭이 가능하게 된다.
또, 공정 ST7에서는, 제5 가스의 플라즈마를 이용하여, 제2 영역(VL2)의 에칭이 행해질 수 있다.
또, 공정 ST8에 있어서, 처리 용기(12) 내의 압력을 증가시킴으로써, 제2 영역(VL2)에 대한 에칭을, 피에칭층(EL)의 표면(FC)을 따른 방향으로 진행할 수 있다. 따라서, 공정 ST8에 있어서 마스크(OLM2)의 폭(PM2)을 적절히 제어할 수 있다.
또, 제5 가스가 산소 가스를 포함하므로, 유기막(OL)에 대한 에칭, 특히 피에칭층(EL)의 표면(FC)을 따른 방향에 있어서의 유기막(OL)(특히 제2 영역(VL2))에 대한 에칭을 양호하게 행할 수 있다.
또, 공정 ST4에 있어서, 제1 영역(VL1)을 제2 영역(VL2)에 도달할 때까지 에칭하고, 마스크(OLM1)가 형성된 후에 마스크(OLM1)(제1 영역(VL1))에 이차 전자를 조사하므로, 보호막(SX)의 형성 전에 마스크(OLM1)를 개질할 수 있어, 후속 공정에 의한 마스크(OLM1)의 손상을 억제할 수 있다.
또, 공정 ST8의 실행 전에 있어서, 제2 영역(VL2)의 두께가 10[nm] 이상 20[nm] 이하이면, 공정 ST8에 있어서 마스크(OLM2)의 폭(PM2)을 양호하게 조절할 수 있다.
이상, 적합한 실시형태에 있어서 본 발명의 원리를 도시하여 설명해 왔지만, 본 발명은, 그러한 원리로부터 일탈하지 않고 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의하여 인식된다. 본 발명은, 본 실시형태에 개시된 특정의 구성에 한정되는 것은 아니다. 따라서, 특허 청구범위 및 그 정신의 범위로부터 오는 모든 수정 및 변경에 권리를 청구한다.
10…플라즈마 처리 장치
12…처리 용기
12e…배기구
12g…반입출구
14…지지부
18a…제1 플레이트
18b…제2 플레이트
22…직류 전원
23…스위치
24…냉매 유로
26a…배관
26b…배관
28…가스 공급 라인
30…상부 전극
32…절연성 차폐 부재
34…전극판
34a…가스 토출 구멍
36…전극 지지체
36a…가스 확산실
36b…가스 통류 구멍
36c…가스 도입구
38…가스 공급관
40…가스 소스군
42…밸브군
45…유량 제어기군
46…디포지션 실드
48…배기 플레이트
50…배기 장치
52…배기관
54…게이트 밸브
62…제1 고주파 전원
64…제2 고주파 전원
66…정합기
68…정합기
70…전원
AL…반사 방지막
ALM…마스크
Cnt…제어부
EL…피에칭층
ESC…정전 척
FC…표면
FR…포커스 링
G1…제2 가스
HP…히터 전원
HT…히터
LE…하부 전극
LM…두께
Ly1…층
Ly2…층
MK1…마스크
MK2…마스크
MT…방법
OL…유기막
OL1…유기막
OLM1…마스크
OLM2…마스크
P1…플라즈마
PD…재치대
PM1…폭
PM2…폭
R1…영역
R2…영역
R3…영역
SB…기판
SF…측면
Sp…처리 공간
SQ…시퀀스
SX…보호막
VL1…제1 영역
VL2…제2 영역
W…웨이퍼

Claims (17)

  1. 피처리체를 처리하는 방법으로서, 상기 피처리체는 피에칭층과 상기 피에칭층 상에 마련된 유기막과 상기 유기막 상에 마련된 마스크를 구비하고, 상기 유기막은 제1 영역과 제2 영역에 의하여 구성되며, 상기 마스크는 상기 제1 영역 상에 마련되고, 상기 제1 영역은 상기 제2 영역 상에 마련되며, 상기 제2 영역은 상기 피에칭층 상에 마련되고, 상기 방법은,
    상기 피처리체가 수용된 플라즈마 처리 장치의 처리 용기 내에 있어서, 제1 가스의 플라즈마를 생성하고, 상기 플라즈마와 상기 마스크를 이용하여, 상기 제1 영역을 상기 제2 영역에 도달할 때까지 에칭하며, 에칭에 의해 형성된 상기 제1 영역의 측면에 보호막을 컨포멀하게 형성하는 공정과,
    제2 마스크가 상기 제2 영역의 일부에 의해 형성되고, 상기 마스크의 폭 및 상기 제2 마스크의 폭이 서로 독립적으로 제어되도록, 상기 보호막을 이용하여, 상기 제1 영역의 형상을 유지하면서, 상기 제2 영역을 상기 피에칭층에 도달할 때까지 에칭하는 공정을 구비하는 방법.
  2. 청구항 1에 있어서,
    상기 제1 가스는, 수소 가스와 질소 가스를 포함하는 방법.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 보호막은, 산화막인 방법.
  4. 청구항 1 또는 청구항 2에 있어서,
    상기 보호막을 컨포멀하게 형성하는 상기 공정은, 상기 제1 영역을 상기 제2 영역에 도달할 때까지 에칭한 후에 있어서,
    상기 처리 용기 내에 제2 가스를 공급하는 공정과,
    상기 제2 가스를 공급하는 상기 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 공정과,
    상기 공간을 퍼지하는 상기 공정의 실행 후에, 상기 처리 용기 내에 있어서 제3 가스의 플라즈마를 생성하는 공정과,
    상기 제3 가스의 플라즈마를 생성하는 상기 공정의 실행 후에, 상기 처리 용기 내의 공간을 퍼지하는 공정을 포함하는 시퀀스를 반복 실행함으로써, 상기 제1 영역의 상기 측면에 상기 보호막을 컨포멀하게 형성하고,
    상기 제2 가스를 공급하는 상기 공정은, 상기 제 2의 가스의 플라즈마를 생성하지 않는 방법.
  5. 청구항 4에 있어서,
    상기 제2 가스는, 아미노실란계 가스를 포함하는 방법.
  6. 청구항 5에 있어서,
    상기 제2 가스는, 모노아미노실란을 포함하는 방법.
  7. 청구항 5에 있어서,
    상기 제2 가스에 포함되는 아미노실란계 가스는, 1~3개의 규소 원자를 갖는 아미노실란을 포함하는 방법.
  8. 청구항 5에 있어서,
    상기 제2 가스에 포함되는 아미노실란계 가스는, 1~3개의 아미노기를 갖는 아미노실란을 포함하는 방법.
  9. 청구항 4에 있어서,
    상기 제3 가스는, 산소 원자를 포함하는 방법.
  10. 청구항 9에 있어서,
    상기 제3 가스는, 이산화 탄소 가스 또는 산소 가스를 포함하는 방법.
  11. 청구항 4에 있어서,
    상기 보호막을 컨포멀하게 형성하는 상기 공정은,
    상기 시퀀스를 반복 실행한 후에 상기 처리 용기 내에 있어서 제4 가스의 플라즈마를 생성하고, 상기 시퀀스를 반복 실행함으로써 상기 제2 영역의 표면에 형성된 막을, 상기 플라즈마를 이용하여 제거하는 공정을 더 포함하며,
    상기 제4 가스는, 불소를 포함하는 방법.
  12. 청구항 1 또는 청구항 2에 있어서,
    상기 제2 영역을 에칭하는 상기 공정은,
    상기 처리 용기 내에 있어서, 제5 가스의 플라즈마를 생성하고, 상기 플라즈마와 상기 제1 영역과 상기 보호막을 이용하여, 상기 제2 영역을 에칭하는 방법.
  13. 청구항 12에 있어서,
    상기 제2 영역을 에칭하는 상기 공정은,
    상기 처리 용기 내의 압력이 제1 압력이 되는 기간과, 상기 기간 후에 있어서, 상기 처리 용기 내의 압력이 제2 압력이 되는 기간을 포함하고,
    상기 제2 압력은, 상기 제1 압력보다 높은 방법.
  14. 청구항 12에 있어서,
    상기 제5 가스는, 산소 가스를 포함하는 방법.
  15. 청구항 1 또는 청구항 2에 있어서,
    상기 보호막을 컨포멀하게 형성하는 상기 공정은, 상기 제1 영역을 상기 제2 영역에 도달할 때까지 에칭한 후이며 상기 제1 영역의 상기 측면에 상기 보호막을 컨포멀하게 형성하기 전에 있어서, 상기 처리 용기 내에서 플라즈마를 발생시켜 상기 처리 용기에 마련된 상부 전극에 음의 직류 전압을 인가함으로써, 상기 제1 영역에 이차 전자를 조사하는 공정을 구비하는 방법.
  16. 청구항 1 또는 청구항 2에 있어서,
    상기 제2 영역을 에칭하는 상기 공정의 실행 전에 있어서, 상기 제2 영역의 두께는, 10nm 이상 20nm 이하인 방법.
  17. 청구항 1에 있어서,
    상기 제2 마스크의 폭은 상기 마스크의 폭보다 작은, 방법.
KR1020197003501A 2016-07-08 2017-07-04 피처리체를 처리하는 방법 KR102531901B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016136177A JP6788400B2 (ja) 2016-07-08 2016-07-08 被処理体を処理する方法
JPJP-P-2016-136177 2016-07-08
PCT/JP2017/024508 WO2018008640A1 (ja) 2016-07-08 2017-07-04 被処理体を処理する方法

Publications (2)

Publication Number Publication Date
KR20190026844A KR20190026844A (ko) 2019-03-13
KR102531901B1 true KR102531901B1 (ko) 2023-05-16

Family

ID=60901369

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197003501A KR102531901B1 (ko) 2016-07-08 2017-07-04 피처리체를 처리하는 방법

Country Status (6)

Country Link
US (1) US10692726B2 (ko)
JP (1) JP6788400B2 (ko)
KR (1) KR102531901B1 (ko)
CN (1) CN109417029B (ko)
TW (1) TWI724198B (ko)
WO (1) WO2018008640A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置
US10340136B1 (en) * 2018-07-19 2019-07-02 Lam Research Corporation Minimization of carbon loss in ALD SiO2 deposition on hardmask films
JP7220603B2 (ja) 2019-03-20 2023-02-10 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006093351A (ja) 2004-09-22 2006-04-06 Nec Electronics Corp 半導体装置およびその製造方法
US20080047932A1 (en) * 2006-08-25 2008-02-28 Canon Kabushiki Kaisha Production process of structure
JP2010066597A (ja) 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2011233878A (ja) 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
US20150160557A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct Current Superposition Freeze
US20160163557A1 (en) * 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0779133B2 (ja) * 1986-06-12 1995-08-23 松下電器産業株式会社 半導体装置の製造方法
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
KR101217778B1 (ko) * 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
US9142451B2 (en) * 2013-09-16 2015-09-22 Globalfoundries Inc. Reduced capacitance interlayer structures and fabrication methods
JP2015111607A (ja) * 2013-12-06 2015-06-18 大日本印刷株式会社 パターン形成方法
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006093351A (ja) 2004-09-22 2006-04-06 Nec Electronics Corp 半導体装置およびその製造方法
US20080047932A1 (en) * 2006-08-25 2008-02-28 Canon Kabushiki Kaisha Production process of structure
JP2008078617A (ja) * 2006-08-25 2008-04-03 Canon Inc 構造体の製造方法
JP2010066597A (ja) 2008-09-11 2010-03-25 Shin-Etsu Chemical Co Ltd パターン形成方法
JP2011233878A (ja) 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
US20150160557A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct Current Superposition Freeze
US20160163557A1 (en) * 2014-12-04 2016-06-09 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Also Published As

Publication number Publication date
JP6788400B2 (ja) 2020-11-25
US10692726B2 (en) 2020-06-23
CN109417029B (zh) 2023-08-15
TW201812902A (zh) 2018-04-01
US20190252198A1 (en) 2019-08-15
TWI724198B (zh) 2021-04-11
CN109417029A (zh) 2019-03-01
KR20190026844A (ko) 2019-03-13
WO2018008640A1 (ja) 2018-01-11
JP2018006706A (ja) 2018-01-11

Similar Documents

Publication Publication Date Title
JP6382055B2 (ja) 被処理体を処理する方法
US9911607B2 (en) Method of processing target object
KR102461750B1 (ko) 피처리체를 처리하는 방법
US10763123B2 (en) Method for processing workpiece
JP6537473B2 (ja) 被処理体を処理する方法
JP7061653B2 (ja) 被処理体を処理する方法
KR102531901B1 (ko) 피처리체를 처리하는 방법
US11823903B2 (en) Method for processing workpiece
US9721766B2 (en) Method for processing target object

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant