WO2018008640A1 - 被処理体を処理する方法 - Google Patents

被処理体を処理する方法 Download PDF

Info

Publication number
WO2018008640A1
WO2018008640A1 PCT/JP2017/024508 JP2017024508W WO2018008640A1 WO 2018008640 A1 WO2018008640 A1 WO 2018008640A1 JP 2017024508 W JP2017024508 W JP 2017024508W WO 2018008640 A1 WO2018008640 A1 WO 2018008640A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
region
plasma
mask
processing container
Prior art date
Application number
PCT/JP2017/024508
Other languages
English (en)
French (fr)
Inventor
信也 森北
貴徳 伴瀬
祐太 瀬谷
良祐 新妻
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to US16/315,812 priority Critical patent/US10692726B2/en
Priority to CN201780042178.4A priority patent/CN109417029B/zh
Priority to KR1020197003501A priority patent/KR102531901B1/ko
Publication of WO2018008640A1 publication Critical patent/WO2018008640A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Definitions

  • Embodiments described herein relate generally to a method for processing an object to be processed.
  • a plasma processing apparatus may be used to perform plasma processing on an object to be processed.
  • Plasma etching is one type of plasma processing.
  • a resist mask used for plasma etching is formed by a photolithography technique, and a critical dimension of a pattern formed on the etching target layer depends on a resolution of the resist mask formed by the photolithography technique.
  • the resolution of the resist mask has a resolution limit.
  • Patent Document 1 by forming a silicon oxide film on a resist mask, the size of the resist mask is adjusted, and the width of the opening provided by the resist mask is reduced. Has been proposed.
  • a method for treating a workpiece includes a layer to be etched, an organic film provided on the layer to be etched, and a mask provided on the organic film.
  • the organic film includes a first region and a second region. The first region is provided on the first region, the first region is provided on the second region, and the second region is provided on the etching target layer.
  • a plasma of a first gas is generated in a processing container of a plasma processing apparatus in which an object to be processed is accommodated, and the first region is etched to reach the second region using the plasma and a mask.
  • a step of forming a protective film conformally on the side surface of the first region (referred to as step a), and using the protective film, the shape of the first region is maintained and the second region is a layer to be etched. (Step b).
  • the step of etching the organic film is divided into two steps (steps included in step a) and step b.
  • the first step of etching the organic film the step included in step a
  • the first region of the organic film is etched by the plasma of the first gas, and the protective film is previously formed on the side surface of the first region.
  • the second step b of forming (step a) and then etching the organic film the first region and the protective film are used to maintain the shape of the first region, The remaining second region is etched until reaching the layer to be etched.
  • the width of the second region in the process b is equal to the width of the first region.
  • the first gas may include hydrogen gas and nitrogen gas.
  • the etching of the organic film can be performed with good perpendicularity by the plasma of the first gas containing the hydrogen gas and the nitrogen gas, and thus the variation in the pattern width due to the etching can be suppressed.
  • the protective film may be an oxide film.
  • the protective film is an oxide film, when etching with a high selectivity with respect to the oxide film is performed on the second region, the etching amount for the second region (particularly, the layer to be etched) The etching amount in the direction along the surface can be well controlled.
  • the step a includes the step of supplying a second gas into the processing container (referred to as step c) after the first region is etched to reach the second region, and after the execution of the step c. , Purging the space in the processing vessel (referred to as step d), after executing step d, generating a third gas plasma in the processing vessel (referred to as step e), and after executing step e, A protective film can be formed conformally on the side surface of the first region by repeatedly executing a sequence including the step of purging the space in the processing container. Then, step c may not generate plasma of the second gas.
  • the protective film is conformally formed on the side surface of the first region by the same method as the ALD (Atomic Layer Deposition) method, so that the strength of protection for the first region is improved.
  • a protective film for protecting the first region can be formed with a uniform thickness.
  • the second gas can include an aminosilane-based gas.
  • the reaction precursor of silicon is formed on the first region or the like along the atomic layer such as the side surface of the first region by the step c. .
  • the second gas can include monoaminosilane. Accordingly, a silicon reaction precursor can be formed in step c using the second gas containing monoaminosilane.
  • the aminosilane-based gas included in the second gas may include an aminosilane having 1 to 3 silicon atoms.
  • the aminosilane-based gas contained in the second gas can contain aminosilane having 1 to 3 amino groups.
  • aminosilane having 1 to 3 silicon atoms can be used as the aminosilane-based gas contained in the second gas.
  • aminosilane-based gas contained in the second gas aminosilane having 1 to 3 amino groups can be used as the aminosilane-based gas contained in the second gas.
  • the third gas can include oxygen atoms.
  • the third gas can include carbon dioxide gas or oxygen gas.
  • the oxygen atoms are bonded to the silicon reaction precursor provided on the first region and the like, so that the first region and the like are bonded.
  • a silicon oxide protective film may be formed conformally.
  • the third gas is carbon dioxide gas, since the third gas contains carbon atoms, erosion of the first region or the like by oxygen atoms can be suppressed by the carbon atoms.
  • the step a generates the plasma of the fourth gas in the processing container after repeatedly executing the sequence, and the film formed on the surface of the second region by repeatedly executing the sequence is converted into the plasma.
  • the fourth gas can include fluorine.
  • the film formed by repeatedly executing the sequence is anisotropically etched using the fourth gas plasma containing fluorine, and the film formed on the surface of the second region is selected. After the removal, the second region can be etched.
  • step b may generate a plasma of a fifth gas in the processing container, and etch the second region using the plasma, the first region, and the protective film. As described above, in the step b, the second region can be etched using the plasma of the fifth gas.
  • the step b may include a period in which the pressure in the processing container becomes the first pressure, and a period in which the pressure in the processing container becomes the second pressure after this period. Then, the second pressure can be higher than the first pressure.
  • the etching of the second region can be advanced in the direction along the surface of the etching target layer. Therefore, the width of the second region can be suitably controlled in step b.
  • the fifth gas includes oxygen gas.
  • the fifth gas contains oxygen gas, etching of the organic film, particularly etching of the organic film in the direction along the surface of the layer to be etched can be performed satisfactorily.
  • the step a is performed after the first region is etched to reach the second region and before the protective film is conformally formed on the side surface of the first region. And the step of irradiating the first region with secondary electrons by applying a negative DC voltage to the upper electrode provided in the processing container. As described above, in the step a, after the first region is etched to reach the second region, the first region is irradiated with the secondary electrons, so that the first region is modified before forming the protective film. And the damage of the first region due to the subsequent process can be suppressed.
  • the thickness of the second region is 10 nm or more and 20 nm or less before the execution of the step b.
  • the width of the second region can be adjusted well in step b.
  • FIG. 1 is a flow diagram illustrating a portion of a method according to one embodiment.
  • FIG. 2 is a diagram illustrating an example of a plasma processing apparatus.
  • 3 includes (a) part, (b) part, (c) part, (d) part, and (e) part, and (a) part of FIG. 3 performs the main steps shown in FIG.
  • FIG. 4 is a cross-sectional view showing the state of the object to be processed before
  • FIGS. 3B to 3E are cross-sectional views showing the state of the object to be processed after the main steps shown in FIG. . 4 includes (a) part, (b) part, and (c) part.
  • a film is formed by executing the sequence shown in FIG. Is shown schematically.
  • FIG. 1 is a flow diagram illustrating a method of an embodiment.
  • a method MT according to an embodiment shown in FIG. 1 is a method for processing an object to be processed (hereinafter also referred to as “wafer”).
  • the method MT includes steps ST1 to ST4, a sequence SQ, and steps ST6 to ST8.
  • the sequence SQ includes steps ST5a to ST5d.
  • the method MT of one embodiment can be executed using a single plasma processing apparatus (a plasma processing apparatus 10 described later), a plurality of plasma processing apparatuses are used depending on each step of the method MT. 10 can be used.
  • FIG. 2 is a diagram illustrating an example of a plasma processing apparatus.
  • FIG. 2 schematically shows a cross-sectional structure of a plasma processing apparatus 10 that can be used in various embodiments of a method for processing an object.
  • the plasma processing apparatus 10 is a capacitively coupled plasma etching apparatus.
  • the plasma processing apparatus 10 includes a processing container 12, an exhaust port 12e, a carry-in / out port 12g, a support unit 14, a mounting table PD, a DC power source 22, a switch 23, a refrigerant flow path 24, a pipe 26a, a pipe 26b, an upper electrode 30, and an insulating property.
  • the mounting table PD includes an electrostatic chuck ESC and a lower electrode LE.
  • the lower electrode LE includes a first plate 18a and a second plate 18b.
  • the processing container 12 defines a processing space Sp.
  • the processing container 12 has a substantially cylindrical shape.
  • the processing container 12 is made of aluminum, for example.
  • the inner wall surface of the processing container 12 is anodized.
  • the processing container 12 is grounded for safety.
  • the support unit 14 is provided on the bottom of the processing container 12 inside the processing container 12.
  • the support portion 14 has a substantially cylindrical shape.
  • the support part 14 is comprised from an insulating material, for example.
  • the insulating material constituting the support portion 14 may contain oxygen like quartz.
  • the support portion 14 extends in the vertical direction from the bottom of the processing container 12 in the processing container 12.
  • the mounting table PD is provided in the processing container 12.
  • the mounting table PD is supported by the support unit 14.
  • the mounting table PD holds the wafer W on the upper surface of the mounting table PD.
  • the wafer W is an object to be processed.
  • the mounting table PD includes a lower electrode LE and an electrostatic chuck ESC.
  • the lower electrode LE includes a first plate 18a and a second plate 18b.
  • the first plate 18a and the second plate 18b are made of a metal such as aluminum.
  • the first plate 18a and the second plate 18b have a substantially disk shape.
  • the second plate 18b is provided on the first plate 18a.
  • the second plate 18b is electrically connected to the first plate 18a.
  • the electrostatic chuck ESC is provided on the second plate 18b.
  • the electrostatic chuck ESC has a structure in which electrodes of a conductive film are arranged between a pair of insulating layers or between a pair of insulating sheets.
  • the DC power supply 22 is electrically connected to the electrode of the electrostatic chuck ESC via the switch 23.
  • the electrostatic chuck ESC attracts the wafer W by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22. As a result, the electrostatic chuck ESC can hold the wafer W.
  • the focus ring FR is disposed on the peripheral edge of the second plate 18b so as to surround the edge of the wafer W and the electrostatic chuck ESC.
  • the focus ring FR is provided in order to improve etching uniformity.
  • the focus ring FR is made of a material appropriately selected according to the material of the film to be etched, and can be made of, for example, quartz.
  • the coolant channel 24 is provided inside the second plate 18b.
  • the refrigerant flow path 24 constitutes a temperature adjustment mechanism. Refrigerant is supplied to the refrigerant flow path 24 from a chiller unit provided outside the processing container 12 via a pipe 26a. The refrigerant supplied to the refrigerant flow path 24 is returned to the chiller unit via the pipe 26b. Thus, the refrigerant is supplied to the refrigerant flow path 24 so that the refrigerant circulates. By controlling the temperature of the refrigerant, the temperature of the wafer W supported by the electrostatic chuck ESC is controlled.
  • the gas supply line 28 supplies the heat transfer gas from the heat transfer gas supply mechanism, for example, He gas, between the upper surface of the electrostatic chuck ESC and the back surface of the wafer W.
  • the heater HT is a heating element.
  • the heater HT is embedded in the second plate 18b.
  • the heater power supply HP is connected to the heater HT. By supplying electric power from the heater power supply HP to the heater HT, the temperature of the mounting table PD is adjusted, and the temperature of the wafer W mounted on the mounting table PD is adjusted.
  • the heater HT can be incorporated in the electrostatic chuck ESC.
  • the upper electrode 30 is disposed opposite the mounting table PD above the mounting table PD.
  • the lower electrode LE and the upper electrode 30 are provided substantially parallel to each other.
  • a processing space Sp is provided between the upper electrode 30 and the lower electrode LE.
  • the processing space Sp is a space region for performing plasma processing on the wafer W.
  • the upper electrode 30 is supported on the upper portion of the processing container 12 via an insulating shielding member 32.
  • the insulating shielding member 32 is made of an insulating material and can contain oxygen, for example, quartz.
  • the upper electrode 30 can include an electrode plate 34 and an electrode support 36.
  • the electrode plate 34 faces the processing space Sp.
  • the electrode plate 34 includes a plurality of gas discharge holes 34a.
  • the electrode plate 34 may be composed of silicon in one embodiment. In another embodiment, the electrode plate 34 can be composed of silicon oxide.
  • the electrode support 36 detachably supports the electrode plate 34 and can be made of a conductive material such as aluminum.
  • the electrode support 36 may have a water cooling structure.
  • the gas diffusion chamber 36 a is provided inside the electrode support 36.
  • Each of the gas flow holes 36b communicates with the gas discharge hole 34a.
  • Each of the plurality of gas flow holes 36b extends downward (toward the mounting table PD) from the gas diffusion chamber 36a.
  • the gas inlet 36c guides the processing gas to the gas diffusion chamber 36a.
  • the gas introduction port 36 c is provided in the electrode support 36.
  • the gas supply pipe 38 is connected to the gas inlet 36c.
  • the gas source group 40 is connected to the gas supply pipe 38 via the valve group 42 and the flow rate controller group 45.
  • the gas source group 40 has a plurality of gas sources.
  • the plurality of gas sources may include an aminosilane-based gas source, an oxygen gas source, a hydrogen gas source, a nitrogen gas source, a carbon dioxide gas source, a fluorocarbon gas source, and a noble gas source.
  • an aminosilane-based gas gas contained in the second gas G1 described later
  • a gas having a molecular structure with a relatively small number of amino groups can be used.
  • monoaminosilane H 3 —Si—R
  • R is an amino group which contains an organic and may be substituted
  • the aminosilane-based gas can include an aminosilane that can have 1 to 3 silicon atoms, or an aminosilane that has 1 to 3 amino groups. Can be included.
  • An aminosilane having 1 to 3 silicon atoms is a monosilane having 1 to 3 amino groups (monoaminosilane), a disilane having 1 to 3 amino groups, or a trisilane having 1 to 3 amino groups It can be.
  • the above aminosilane can have an optionally substituted amino group.
  • the amino group can be substituted with any of a methyl group, an ethyl group, a propyl group, and a butyl group.
  • the above methyl group, ethyl group, propyl group, or butyl group can be substituted by halogen.
  • fluorocarbon gas any fluorocarbon gas such as CF 4 gas, C 4 F 6 gas, and C 4 F 8 gas may be used.
  • rare gas any rare gas such as He gas or Ar gas can be used.
  • the valve group 42 includes a plurality of valves.
  • the flow rate controller group 45 includes a plurality of flow rate controllers such as a mass flow controller.
  • Each of the plurality of gas sources of the gas source group 40 is connected to the gas supply pipe 38 via a corresponding valve of the valve group 42 and a corresponding flow rate controller of the flow rate controller group 45. Therefore, the plasma processing apparatus 10 can supply the gas from one or more gas sources selected from the plurality of gas sources of the gas source group 40 into the processing container 12 at individually adjusted flow rates. It is.
  • a deposition shield 46 is detachably provided along the inner wall of the processing container 12. The deposition shield 46 is also provided on the outer periphery of the support portion 14.
  • the deposition shield 46 prevents the etching byproduct (depot) from adhering to the processing container 12 and can be configured by coating an aluminum material with ceramics such as Y 2 O 3 .
  • the deposition shield can be made of a material containing oxygen such as quartz.
  • the exhaust plate 48 is provided on the bottom side of the processing container 12 and between the support part 14 and the side wall of the processing container 12.
  • the exhaust plate 48 can be configured by, for example, coating an aluminum material with ceramics such as Y 2 O 3 .
  • the exhaust port 12 e is provided in the processing container 12 below the exhaust plate 48.
  • the exhaust device 50 is connected to the exhaust port 12 e via the exhaust pipe 52.
  • the exhaust device 50 has a vacuum pump such as a turbo molecular pump, and can depressurize the space in the processing container 12 to a desired degree of vacuum.
  • the loading / unloading port 12g is a loading / unloading port for the wafer W.
  • the loading / unloading port 12 g is provided on the side wall of the processing container 12.
  • the carry-in / out port 12 g can be opened and closed by a gate valve 54.
  • the first high-frequency power source 62 is a power source that generates a first high-frequency power for plasma generation, and generates a high-frequency power of 27 to 100 [MHz], for example, 40 [MHz].
  • the first high frequency power supply 62 is connected to the upper electrode 30 via the matching unit 66.
  • the matching unit 66 is a circuit for matching the output impedance of the first high-frequency power source 62 with the input impedance on the load side (lower electrode LE side). Note that the first high-frequency power source 62 can also be connected to the lower electrode LE via the matching unit 66.
  • the second high-frequency power source 64 is a power source that generates a second high-frequency power for drawing ions into the wafer W, that is, a high-frequency bias power, and has a frequency within a range of 400 [kHz] to 40.68 [MHz]. In one example, a high frequency bias power of 3.2 [MHz] is generated.
  • the second high frequency power supply 64 is connected to the lower electrode LE via the matching unit 68.
  • the matching unit 68 is a circuit for matching the output impedance of the second high-frequency power source 64 with the input impedance on the load side (lower electrode LE side).
  • the power source 70 is connected to the upper electrode 30.
  • the power source 70 applies a voltage to the upper electrode 30 for drawing positive ions present in the processing space Sp into the electrode plate 34.
  • the power source 70 is a DC power source that generates a negative DC voltage.
  • a voltage is applied from the power source 70 to the upper electrode 30, positive ions present in the processing space Sp collide with the electrode plate 34. Thereby, secondary electrons and / or silicon are emitted from the electrode plate 34.
  • the control unit Cnt is a computer including a processor, a storage unit, an input device, a display device, and the like, and controls each unit of the plasma processing apparatus 10.
  • the control unit Cnt includes a valve group 42, a flow rate controller group 45, an exhaust device 50, a first high-frequency power source 62, a matching unit 66, a second high-frequency power source 64, a matching unit 68, a power source 70, and a heater power source. Connected to HP and chiller unit.
  • the control unit Cnt operates according to a program based on the input recipe and sends out a control signal.
  • a control signal from the control unit Cnt the selection and flow rate of the gas supplied from the gas source group, the exhaust of the exhaust device 50, the power supply from the first high-frequency power source 62 and the second high-frequency power source 64, and the power source It is possible to control the voltage application from 70, the power supply of the heater power supply HP, and the refrigerant flow rate and refrigerant temperature from the chiller unit.
  • each process of the method (method MT shown in FIG. 1) for processing the target object disclosed in this specification can be executed by operating each part of the plasma processing apparatus 10 under the control of the control unit Cnt. .
  • FIGS. 3 and 4 are referred to together with FIGS.
  • Part (a) of FIG. 3 is a cross-sectional view showing a state of the object to be processed before the main process shown in FIG. 1 is performed.
  • Parts (b) to (e) of FIG. 3 are cross-sectional views showing the state of the object to be processed after the main steps shown in FIG. Parts (a) to (c) of FIG. 4 schematically show how a film is formed by executing the sequence shown in FIG.
  • step ST1 the wafer W shown in part (a) of FIG. 3 is prepared as the wafer W shown in FIG.
  • a wafer W shown in part (a) of FIG. 3 is prepared, and the wafer W is accommodated in the processing container 12 of the plasma processing apparatus 10 and mounted on the mounting table PD.
  • the wafer W prepared in the process ST1 includes a substrate SB, an etching target layer EL, an organic film OL, an antireflection film AL, and a mask MK1, as shown in part (a) of FIG.
  • the etched layer EL is provided on the substrate SB.
  • the layer to be etched EL is a layer made of a material that is selectively etched with respect to the organic film OL, and an insulating film is used.
  • the layer to be etched EL can be made of, for example, silicon oxide (SiO 2 ).
  • the etched layer EL can be made of other materials such as polycrystalline silicon and silicon nitride (SiN).
  • the organic film OL is provided on the etched layer EL.
  • the organic film OL is, for example, a layer containing carbon or silicon and can be an SOH (spin-on hard mask) layer.
  • the organic film OL is not limited to the above SOH as long as it is a material that can be selectively etched with respect to a material (silicon oxide film) of a protective film SX described later.
  • the material of the organic film OL can be SiN, polycrystalline silicon, amorphous silicon, etc. in addition to the above SOH.
  • the antireflection film AL is a silicon-containing antireflection film, and is provided on the organic film OL.
  • the organic film OL is divided into a first region VL1 and a second region VL2. That is, the organic film OL is composed of the first region VL1 and the second region VL2.
  • the boundary surface between the first region VL1 and the second region VL2 is not a physical surface but a virtual surface.
  • the first region VL1 and the second region VL2 are made of the same material and extend along the surface of the wafer W.
  • the thickness LM of the second region VL2 is, for example, about 10 [nm] to 20 [nm].
  • the mask MK1 is provided on the first region VL1, the first region VL1 is provided on the second region VL2, and the second region VL2 is provided on the etched layer EL.
  • the mask MK1 is provided on the antireflection film AL.
  • the mask MK1 is a resist mask made of a resist material, and is produced by patterning a resist layer by a photolithography technique.
  • the mask MK1 partially covers the antireflection film AL.
  • the mask MK1 defines an opening (pattern) that partially exposes the antireflection film AL.
  • the pattern of the mask MK1 is, for example, a line and space pattern.
  • the mask MK1 may have a pattern that provides a circular opening in plan view. Alternatively, the mask MK1 may have a pattern that provides an elliptical opening in plan view.
  • step ST2 following step ST1, the antireflection film AL is etched.
  • a gas source selected from among a plurality of gas sources in the gas source group 40 is supplied into the processing container 12 as a processing gas containing a fluorocarbon gas.
  • high frequency power is supplied from the first high frequency power supply 62.
  • High frequency bias power is supplied from the second high frequency power source 64.
  • the exhaust device 50 By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure.
  • plasma of a processing gas containing a fluorocarbon gas is generated.
  • the active species containing fluorine in the generated plasma etch the region exposed from the mask MK1 in the entire region of the antireflection film AL.
  • a mask ALM is formed from the antireflection film AL.
  • the mask ALM is used as a mask in etching the organic film OL.
  • a first gas plasma is generated in the processing chamber 12 of the plasma processing apparatus 10 in which the wafer W is accommodated, and the first region VL1 is formed using the plasma and the mask ALM. Etching is performed up to the second region VL2, and a mask OLM1 is formed from the first region VL1.
  • a first gas containing hydrogen gas and nitrogen gas is supplied from the gas source selected from the plurality of gas sources of the gas source group 40 into the processing container 12 as a processing gas.
  • high frequency power is supplied from the first high frequency power supply 62.
  • High frequency bias power is supplied from the second high frequency power source 64.
  • the plasma of the first gas is generated in the processing space Sp of the processing container 12.
  • Hydrogen radicals which are active species of hydrogen in the generated plasma, etch the region exposed from the mask ALM formed from the antireflection film AL in step ST2 in the entire region of the organic film OL.
  • step ST3 as shown in FIG. 3B, the first region VL1 of the organic film OL is etched, that is, the interface between the organic film OL and the etching target layer EL (the surface of the etching target layer EL).
  • the organic film OL is etched from FC) to the thickness LM.
  • the organic film OL is etched so that the film having the thickness LM remains, that is, the second region VL2 remains.
  • the mask OLM1 and the organic film OL1 are formed from the organic film OL.
  • the organic film OL1 is a portion of the organic film OL that remains without being etched in step ST3, and is the second region VL2 of the organic film OL.
  • the organic film OL1 is provided on the surface FC of the etched layer EL.
  • the organic film OL1 has a thickness LM.
  • the mask OLM1 is provided on the organic film OL1.
  • the mask ALM and the mask OLM1 are used as masks in etching the organic film OL1.
  • the organic film OL can be performed in step ST3.
  • the verticality of the mask OLM1 formed from the first region VL1 can be satisfactorily realized.
  • a series of processes for forming the protective film SX on the side surface SF of the mask OLM1 conformally is performed on the first region VL1 of the organic film OL.
  • plasma is generated in the processing container 12 to generate a processing container.
  • the method MT can also execute the process ST5a (sequence SQ) without executing the process ST4 after executing the process ST3.
  • step ST4 in the method MT shown in FIG. 1, the sequence SQ is executed once or more.
  • a series of processes from the start of the sequence SQ to the process ST7 described later is a process of forming the protective film SX conformally on the side surface SF of the mask OLM1.
  • the sequence SQ includes a process ST5a, a process ST5b, a process ST5c, and a process ST5d.
  • a second gas G1 containing silicon is introduced into the processing container 12.
  • the second gas G1 contains an aminosilane-based gas.
  • the second gas G ⁇ b> 1 is supplied into the processing container 12 from a gas source selected from among a plurality of gas sources in the gas source group 40.
  • monoaminosilane H 3 —Si—R (R is an amino group)
  • the plasma of the second gas G1 is not generated.
  • molecules of the second gas G1 adhere to the surface of the wafer W as a reaction precursor.
  • the molecules of the second gas G1 (monoaminosilane) adhere to the surface of the wafer W by chemical adsorption based on chemical bonds, and plasma is not used.
  • a gas other than monoaminosilane can be used as long as it can be attached to the surface by chemical bonding within the temperature range and contains silicon.
  • the reason why the monoaminosilane-based gas is selected as the second gas G1 is that the monoaminosilane has a relatively high electronegativity and has a polar molecular structure, so that chemisorption is relatively easily performed. This is due to the fact that The layer Ly1 formed by the molecules of the second gas G1 adhering to the surface of the wafer W is in a state close to a monomolecular layer (single layer) because the adhesion is chemical adsorption. The smaller the amino group (R) of the monoaminosilane, the smaller the molecular structure of the molecules adsorbed on the surface of the wafer W, so that the steric hindrance due to the size of the molecules is reduced.
  • the molecules can be uniformly adsorbed on the surface of the wafer W, and the layer Ly1 can be formed with a uniform film thickness on the surface of the wafer W.
  • monoaminosilane (H 3 —Si—R) contained in the second gas G1 reacts with OH groups on the surface of the wafer W to form a reaction precursor H 3 —Si—O.
  • a layer Ly1 that is a monomolecular layer of H 3 —Si—O is formed. Therefore, the reaction precursor layer Ly1 can be formed conformally with a uniform film thickness on the surface of the wafer W without depending on the pattern density of the wafer W.
  • step ST5b subsequent to step ST5a, the space in the processing container 12 is purged. Specifically, the second gas G1 supplied in step ST5a is exhausted.
  • an inert gas such as nitrogen gas may be supplied to the processing container 12 as a purge gas.
  • the purge in step ST5b may be either a gas purge for flowing an inert gas into the processing container 12 or a purge by evacuation.
  • the reaction precursor layer Ly1 is an extremely thin monomolecular layer.
  • the plasma P1 of the third gas is generated in the processing container 12.
  • a third gas containing carbon dioxide gas is supplied into the processing container 12 from a gas source selected from a plurality of gas sources in the gas source group 40.
  • the third gas can be other gas containing oxygen atoms in addition to carbon dioxide gas, for example, oxygen gas.
  • high frequency power is supplied from the first high frequency power supply 62.
  • the bias power of the second high frequency power supply 64 can be applied. It is also possible to generate plasma using only the second high frequency power supply 64 without using the first high frequency power supply 62.
  • the pressure in the space in the processing container 12 is set to a predetermined pressure.
  • the molecules (molecules constituting the monomolecular layer of the layer Ly1) attached to the surface of the wafer W by the execution of the process ST5a include a bond between silicon and hydrogen.
  • the bond energy between silicon and hydrogen is lower than the bond energy between silicon and oxygen. Therefore, as shown in FIG. 4B, when the plasma P1 of the third gas containing carbon dioxide gas is generated, oxygen active species, for example, oxygen radicals are generated, and the single molecule of the layer Ly1 is generated. Hydrogen of molecules constituting the layer is replaced with oxygen, and as shown in FIG. 4C, the layer Ly2 which is a silicon oxide film is formed as a monomolecular layer.
  • step ST5d subsequent to step ST5c, the space in the processing container 12 is purged. Specifically, the third gas supplied in step ST5c is exhausted.
  • an inert gas such as nitrogen gas may be supplied to the processing container 12 as a purge gas.
  • the purge in step ST5d may be either a gas purge for flowing an inert gas into the processing container 12 or a purge by evacuation.
  • step ST5b purging is performed in step ST5b, and hydrogen of molecules constituting the layer Ly1 is replaced with oxygen in step ST5c subsequent to step ST5b. Therefore, similarly to the ALD method, by executing the sequence SQ once, the layer Ly2 of the silicon oxide film is formed on the surface of the wafer W (particularly on the side surface SF of the mask OLM1) regardless of the density of the pattern of the mask MK1. A thin and uniform film can be formed conformally.
  • step ST6 it is determined whether or not to end the execution of the sequence SQ. Specifically, in step ST6, it is determined whether or not the number of executions of the sequence SQ has reached a predetermined number. Determination of the number of executions of the sequence SQ is to determine the thickness of the protective film SX of the silicon oxide film formed on the wafer W (particularly on the side surface SF of the mask OLM1) shown in part (c) of FIG. That is, the thickness of the protective film SX finally formed on the wafer W is substantially determined by the product of the film thickness of the silicon oxide film formed by executing the sequence SQ once and the number of executions of the sequence SQ. Is done. Therefore, the number of executions of the sequence SQ is set according to the desired thickness of the protective film SX formed on the wafer W.
  • step ST6 When it is determined in step ST6 that the number of executions of the sequence SQ has not reached the predetermined number (step ST6: NO), the execution of the sequence SQ is repeated again. On the other hand, when it is determined in step ST6 that the number of executions of the sequence SQ has reached the predetermined number (step ST6: YES), the execution of the sequence SQ is ended. As a result, as shown in FIG. 3C, a protective film SX of a silicon oxide film is formed on the surface of the wafer W (particularly on the side surface SF of the mask OLM1).
  • the number of executions of the sequence SQ is repeated a predetermined number of times, so that the protective film SX having a predetermined film thickness conformally with a uniform film regardless of the density of the pattern of the mask MK1 ( In particular, it is formed on the side surface SF) of the mask OLM1.
  • the thickness of the protective film SX on the side surface SF of the mask OLM1 decreases as the number of executions of the sequence SQ decreases.
  • the thickness of the protective film SX on the side surface SF of the mask OLM1 is such that the upper end of the mask OLM1 (one end of the mask OLM1 on the side on which the mask ALM is provided) to the lower end of the mask OLM1 (on the side on which the organic film OL1 is provided). It decreases toward the other end of the mask OLM1.
  • the protective film SX includes a region R1, a region R2, and a region R3.
  • the region R3 is a region extending along each side surface on the side surface of the mask ALM and on the side surface SF of the mask OLM1.
  • the region R3 extends from the surface of the organic film OL1 formed in step ST3 to the lower side of the region R1.
  • the region R1 extends on the upper surface of the mask ALM and on the region R3.
  • the region R2 extends between the adjacent regions R3 and on the surface of the organic film OL1 formed in the step ST3.
  • the protective film SX is formed by repeating the sequence SQ as in the ALD method, each of the region R1, the region R2, and the region R3, regardless of the pattern density of the mask MK1. These film thicknesses are substantially equal to each other.
  • Step ST6 In step ST7 subsequent to YES, the protective film SX is etched (etched back) so as to remove the region R1 and the region R2.
  • a plasma of the fourth gas is generated in the processing container 12, and the film (protective film) formed on the surface of the second region VL2 in particular by repeatedly executing the sequence SQ.
  • the SX region R2) is removed (etched back) using the plasma.
  • the region R2 of the protective film SX is removed and the region R1 is also removed. In order to remove the region R1 and the region R2, anisotropic etching conditions are necessary.
  • the process gas containing the 4th gas containing a fluorine is supplied in the process container 12 from the gas source selected from the several gas source of the gas source group 40.
  • the fourth gas is a gas containing fluorine, and may be, for example, a fluorocarbon gas.
  • high frequency power is supplied from the first high frequency power supply 62.
  • High frequency bias power is supplied from the second high frequency power source 64.
  • the exhaust device 50 By operating the exhaust device 50, the pressure in the space in the processing container 12 is set to a predetermined pressure. Thereby, plasma of fluorocarbon gas is generated.
  • the active species including fluorine in the generated plasma preferentially etch the region R1 and the region R2 by being drawn in the vertical direction by the high frequency bias power.
  • a mask MK2 is formed by the region R3 left by selectively removing the region R1 and the region R2 and the mask OLM1.
  • the mask MK2 may further include a mask ALM along with the region R3 and the mask OLM1.
  • the mask MK2 is used as a mask in etching with respect to the second region VL2 of the organic film OL.
  • step ST8 following step ST7, the mask MLM2 is used to etch the second region VL2 up to the etched layer EL while maintaining the shape of the mask OLM1, thereby forming the mask OLM2 from the second region VL2. .
  • the second gas plasma is generated in the processing container 12, and the second gas VL2 is generated using the plasma and the mask MK2 (including the mask OLM1 and the region R3).
  • the region VL2 is etched.
  • Step ST8 includes step ST8a and step ST8b. In step ST8, step ST8a is first executed following step ST7, and step ST8b is subsequently executed after step ST8a.
  • the processing vessel 12 is processed using, as a processing gas, a fifth gas containing oxygen gas from a gas source selected from the plurality of gas sources of the gas source group 40.
  • Supply in. High frequency power is supplied from the first high frequency power supply 62.
  • High frequency bias power is supplied from the second high frequency power source 64.
  • a plasma of the fifth gas is generated.
  • the pressure in the space in the processing container 12 is set to a predetermined pressure corresponding to each of the process ST8a and the process ST8b.
  • the only difference between the process condition of step ST8a and the process condition of step ST8b is the pressure in the space in the processing container 12 and the processing time.
  • the execution period of the process ST8a is a period in which the pressure in the processing container 12 becomes the first pressure
  • the execution period of the process ST8b is the second pressure after the execution period of the process ST8a. This is the period of pressure.
  • the second pressure in the space in the processing container 12 set in step ST8b is higher than the first pressure in the space in the processing container 12 set in step ST8a.
  • the width PM2 of the mask OLM2 can be controlled in the state where the width PM1 of the mask OLM1 is maintained by the step ST8b in which the pressure in the space in the processing container 12 is relatively high.
  • the processing time of the process ST8b can be adjusted according to the width of the mask OLM2 formed by the process ST8, and can be, for example, equal to the processing time of the process ST8a or longer than the processing time of the process ST8a. If the processing time of the process ST8b increases, the width of the mask OLM2 decreases.
  • step ST8 as shown in part (e) of FIG. 3, the organic film OL1 is etched until it reaches the surface FC of the etched layer EL, and a mask OLM2 is formed from the organic film OL1.
  • the mask OLM2 is provided on the surface FC of the etched layer EL, and the mask OLM1 is provided on the mask OLM2.
  • the mask OLM2 is provided between the mask OLM1 and the etching target layer EL.
  • the mask ALM, the mask OLM1, and the mask OLM2 are used as masks in etching with respect to the etching target layer EL.
  • step ST5c ⁇ Process ST5c> -Pressure in the processing container 12 [mTorr]: 200 [mTorr] Value of high frequency power of first high frequency power supply 62 [W]: 300 [W], 10 [kHz], Duty 50 The value of the high frequency power of the second high frequency power supply 64 [W]: 0 [W] -DC voltage value [V] of power supply 70: 0 [V] Processing gas: CO 2 gas Processing gas flow rate [sccm]: 300 [sccm] Processing time [s]: 5 [s] In step ST5c, the following processing is executed before the processing performed under the above process conditions.
  • the step of etching the organic film OL is divided into two steps ST3 and ST8.
  • the first step ST3 for etching the organic film OL the first region VL1 of the organic film OL is etched by the plasma of the first gas, and the protective film SX is formed in advance on the side surface SF of the mask OLM1 (sequence).
  • the mask OLM1 and the protective film SX are used to maintain the shape of the mask OLM1, while the remaining of the organic film OL is left.
  • the second region VL2 is etched until reaching the etching target layer EL.
  • step ST8 the reduction due to the etching of the width PM1 of the mask OLM1 can be suppressed by the protective film SX. Therefore, in step ST8, the width PM2 of the mask OLM2 is different from the width PM1 of the mask OLM1. It can be controlled independently. That is, the Top CD (corresponding to the width PM1 of the mask OLM1) and the Bottom CD (corresponding to the width PM2 of the mask OLM2) of the organic film OL can be controlled independently.
  • the etching of the organic film OL can be performed with good perpendicularity by the plasma of the first gas containing hydrogen gas and nitrogen gas, and thus variation in the pattern width due to the etching can be suppressed.
  • the protective film SX is an oxide film
  • the etching amount (especially, the layer to be etched) with respect to the second region VL2 can be well controlled.
  • the protective film SX is conformally formed on the side surface SF of the mask OLM1 by the same method as the ALD (Atomic Layer Deposition) method.
  • ALD Atomic Layer Deposition
  • the second gas includes an aminosilane-based gas
  • a silicon reaction precursor is formed on the mask OLM1 and the like along the atomic layer such as the side surface SF of the mask OLM1 by the step ST5a.
  • a silicon reaction precursor can be formed in step ST5a by using a second gas containing monoaminosilane.
  • aminosilane having 1 to 3 silicon atoms can be used as the aminosilane-based gas contained in the second gas.
  • aminosilane-based gas contained in the second gas aminosilane having 1 to 3 amino groups can be used.
  • the third gas contains oxygen atoms
  • the oxygen atoms are bonded to the silicon reaction precursor provided on the mask OLM1 or the like, thereby protecting the silicon oxide on the mask OLM1 or the like.
  • a film (protective film SX) can be formed conformally.
  • the third gas is carbon dioxide gas
  • the film formed by repeatedly executing the sequence SQ is anisotropically performed using the plasma of the fourth gas containing fluorine, and the film formed on the surface of the second region VL2 (protection) Since the region R2) of the film SX can be selectively removed, the second region VL2 can be etched after this removal.
  • step ST7 the second region VL2 can be etched using the plasma of the fifth gas.
  • step ST8 by increasing the pressure in the processing container 12, the etching of the second region VL2 can be advanced in the direction along the surface FC of the etching target layer EL. Accordingly, the width PM2 of the mask OLM2 can be suitably controlled in the process ST8.
  • the etching with respect to the organic film OL in particular, the etching with respect to the organic film OL (particularly, the second region VL2) in the direction along the surface FC of the etched layer EL can be performed satisfactorily.
  • step ST4 the first region VL1 is etched to reach the second region VL2, and after the mask OLM1 is formed, the mask OLM1 (first region VL1) is irradiated with secondary electrons.
  • the mask OLM1 can be modified before the formation of SX, and damage to the mask OLM1 due to subsequent processes can be suppressed.
  • the width PM2 of the mask OLM2 can be well adjusted in the process ST8.
  • MK1 ... mask, MK2 ... mask, MT ... method OL ... organic film, OL1 ... organic film, OLM1 ... mask, OLM2 ... mask, P1 ... plasma, PD ... mounting table, PM1 ... Width, PM2 ... width, R1 ... region, R2 ... region, R3 ... region, SB ... substrate, SF ... side surface, Sp ... processing space, SQ ... sequence, SX ... protective film, VL1 ... first region, VL2 ... first Area 2, W ... wafer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

一実施形態に係る方法MTは、有機膜等の加工においてパターン形状の制御が行える技術を提供する。一実施形態における方法MTの適用対象となるウエハWは被エッチング層ELと有機膜OLとマスクALMとを備え、有機膜OLは第1の領域VL1と第2の領域VL2とによって構成されマスクALMは第1の領域VL1上に設けられ第1の領域VL1は第2の領域VL2上に設けられ第2の領域VL2は被エッチング層EL上に設けられる。方法MTは、ウエハWが収容された処理容器12内において窒素ガスを含むガスのプラズマを生成して第1の領域VL1を第2の領域VL2に至るまでエッチングし、第1の領域VL1からマスクOLM1を形成し、マスクOLM1の側面SFに保護膜SXをコンフォーマルに形成し、第2の領域VL2を被エッチング層ELに至るまでエッチングして第2の領域VL2からマスクOLM2を形成する。

Description

被処理体を処理する方法
本発明の実施形態は、被処理体を処理する方法に関するものである。
半導体デバイスといった電子デバイスの製造プロセスでは、プラズマ処理装置を用いて被処理体のプラズマ処理が行われることがあり、プラズマ処理の一種として、プラズマエッチングがある。プラズマエッチングに用いられるレジストマスクは、フォトリソグラフィ技術によって形成され、被エッチング層に形成されるパターンの限界寸法は、フォトリソグラフィ技術によって形成されるレジストマスクの解像度に依存する。しかし、レジストマスクの解像度には解像限界がある。電子デバイスの高集積化に対する要求が益々高まっており、レジストマスクの解像限界よりも小さい寸法のパターンを形成することが要求されるようになっている。このため、特許文献1に記載されているように、レジストマスク上にシリコン酸化膜を形成することによって、当該レジストマスクの寸法を調整し、当該レジストマスクによって提供される開口の幅を縮小する技術が提案されている。
特開2004-80033号公報
一方、近年の電子デバイスの高集積化に伴う微細化によって、被処理体上のパターン形成おいて、高精度の最小線幅(CD:Critical Dimension)の制御が要求される。更に、電子デバイスの量産性の観点からは、長期的に安定した最小線幅の再現性等も要求される。特に、積層構造に含まれる例えば有機膜等の加工を進めて行く場合において、有機膜等のパターン形状が維持できず、当該加工の前後においてCDの変化が生じる場合がある。したがって、有機膜等の加工においてパターン形状の制御が行える技術の実現が望まれている。
一態様においては、被処理体を処理する方法が提供される。被処理体は被エッチング層と被エッチング層上に設けられた有機膜と有機膜上に設けられたマスクとを備え、有機膜は第1の領域と第2の領域とによって構成され、マスクは第1の領域上に設けられ、第1の領域は第2の領域上に設けられ、第2の領域は被エッチング層上に設けられる。この方法は、被処理体が収容されたプラズマ処理装置の処理容器内において、第1のガスのプラズマを生成し、プラズマとマスクとを用いて第1の領域を第2の領域に至るまでエッチングし、第1の領域の側面に保護膜をコンフォーマルに形成する工程(工程aという)と、保護膜を用いて、第1の領域の形状を維持しつつ、第2の領域を被エッチング層に至るまでエッチングする工程(工程bという)とを備える。
上記方法では、有機膜をエッチングする工程が二つの工程(工程aに含まれる工程)および工程bに分けられている。有機膜をエッチングする一つ目の工程(工程aに含まれる工程)では、有機膜のうち第1の領域を第1のガスのプラズマによってエッチングし、第1の領域の側面に保護膜を予め形成し(工程a)、この後に、有機膜をエッチングする二つ目の工程bでは、第1の領域と保護膜とを用いて、第1の領域の形状を維持しつつ、有機膜のうち残余の第2の領域を被エッチング層に至るまでエッチングする。このように、有機膜のエッチングにおいて、工程bでは、第1の領域の幅のエッチングによる減少が保護膜によって抑制され得るので、工程bにおいて第2の領域の幅が第1の領域の幅とは独立に制御され得る。すなわち、有機膜のTop CD(第1の領域の上端の幅に対応)とBottom CD(第2の領域の幅に対応)を独立に制御することができる。
一実施形態において、第1のガスは、水素ガスと窒素ガスとを含み得る。このように、水素ガスと窒素ガスとを含む第1のガスのプラズマによって、有機膜に対するエッチングが垂直性良く行え、よって、エッチングによるパターン幅の変動が抑制され得る。
一実施形態において、保護膜は、酸化膜であり得る。このように、保護膜が酸化膜であるので、酸化膜に対し高選択比のエッチングが第2の領域に対して行われる場合には、第2の領域に対するエッチング量(特に、被エッチング層の表面に沿った方向におけるエッチング量)が良好に制御され得る。
一実施形態において、工程aは、第1の領域を第2の領域に至るまでエッチングした後において、処理容器内に第2のガスを供給する工程(工程cという)と、工程cの実行後に、処理容器内の空間をパージする工程(工程dという)と、工程dの実行後に、処理容器内において第3のガスのプラズマを生成する工程(工程eという)と、工程eの実行後に、処理容器内の空間をパージする工程と、を含むシーケンスを繰り返し実行することによって、第1の領域の側面に保護膜をコンフォーマルに形成し得る。そして、工程cは、第2のガスのプラズマを生成しないものとし得る。このように、工程aは、ALD(Atomic Layer Deposition)法と同様の方法によって、第1の領域の側面に保護膜がコンフォーマルに形成されるので、第1の領域に対する保護の強度が向上されると共に、第1の領域を保護する保護膜が均一な膜厚で形成できる。
一実施形態において、第2のガスは、アミノシラン系ガスを含み得る。このように、第2のガスがアミノシラン系ガスを含むので、工程cによって、シリコンの反応前駆体が第1の領域の側面等の原子層に沿って第1の領域等の上に形成される。
一実施形態において、第2のガスは、モノアミノシランを含み得る。従って、モノアミノシランを含む第2のガスを用いて、工程cにおいてシリコンの反応前駆体の形成が行える。
一実施形態において、第2のガスに含まれるアミノシラン系ガスは、1~3個のケイ素原子を有するアミノシランを含み得る。第2のガスに含まれるアミノシラン系ガスは、1~3個のアミノ基を有するアミノシランを含み得る。このように、第2のガスに含まれるアミノシラン系ガスには、1~3個のケイ素原子を有するアミノシランを用いることができる。また、第2のガスに含まれるアミノシラン系ガスには、1~3個のアミノ基を有するアミノシランを用いることができる。
一実施形態において、第3のガスは、酸素原子を含み得る。例えば、第3のガスは、二酸化炭素ガスまたは酸素ガスを含み得る。このように、第3のガスが酸素原子を含むので、工程eにおいて、当該酸素原子が第1の領域等の上に設けられるシリコンの反応前駆体と結合することによって、第1の領域等の上に酸化シリコンの保護膜がコンフォーマルに形成され得る。また、第3のガスが二酸化炭素ガスの場合、第3のガスが炭素原子を含むので、酸素原子による第1の領域等に対する浸食が当該炭素原子によって抑制され得る。
一実施形態では、工程aは、シーケンスを繰り返し実行した後に処理容器内において第4のガスのプラズマを生成し、シーケンスを繰り返し実行したことによって第2の領域の表面に形成された膜を、プラズマを用いて除去する工程を更に含み得る。そして、第4のガスは、フッ素を含み得る。このように、シーケンスを繰り返し実行することによって形成される膜に対するエッチングがフッ素を含む第4のガスのプラズマを用いて異方的に行われ、第2の領域の表面に形成された膜が選択的に除去され得るので、この除去の後において、第2の領域に対するエッチングが可能となる。
一実施形態では、工程bは、処理容器内において、第5のガスのプラズマを生成し、プラズマと第1の領域と保護膜とを用いて、第2の領域をエッチングし得る。このように、工程bでは、第5のガスのプラズマを用いて、第2の領域のエッチングが行われ得る。
一実施形態では、工程bは、処理容器内の圧力が第1の圧力となる期間と、この期間の後において、処理容器内の圧力が第2の圧力となる期間とを含み得る。そして、第2の圧力を、第1の圧力よりも高くし得る。このように、工程bにおいて、処理容器内の圧力を増加させることによって、第2の領域に対するエッチングを、被エッチング層の表面に沿った方向に進めることができる。従って、工程bにおいて第2の領域の幅を好適に制御できる。
一実施形態では、第5のガスは、酸素ガスを含む。このように、第5のガスが酸素ガスを含むので、有機膜に対するエッチング、特に、被エッチング層の表面に沿った方向における有機膜に対するエッチングが良好に行える。
一実施形態では、工程aは、第1の領域を第2の領域に至るまでエッチングした後であって第1の領域の側面に保護膜をコンフォーマルに形成する前において、処理容器内でプラズマを発生させて処理容器に設けられた上部電極に負の直流電圧を印可することにより、第1の領域に二次電子を照射する工程を更に備え得る。このように、工程aにおいて、第1の領域を第2の領域に至るまでエッチングした後に、第1の領域に二次電子を照射するので、保護膜の形成前に第1の領域を改質することができ、後続の工程による第1の領域の損傷を抑制することができる。
一実施形態では、工程bの実行前において、第2の領域の厚みは、10nm以上20nm以下である。このように、第2の領域の厚みが10nm以上20nm以下であれば、工程bにおいて第2の領域の幅が良好に調節し得る。
以上説明したように、有機膜等の加工においてパターン形状の制御が行える技術が実現される。
図1は、一実施形態に係る方法の一の部分を示す流図である。 図2は、プラズマ処理装置の一例を示す図である。 図3は、(a)部、(b)部、(c)部、(d)部、および(e)部を含み、図3の(a)部は、図1に示す主要な工程の実施前の被処理体の状態を示す断面図であり、図3の(b)部~(e)部は、図1に示す主要な工程の実施後の被処理体の状態を示す断面図である。 図4は、(a)部、(b)部、および(c)部を含み、図4の(a)部~(c)部は、図1に示すシーケンスの実行によって膜が形成される様子を模式的に示す。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一または相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態の方法を示す流れ図である。図1に示す一実施形態の方法MTは、被処理体(以下、「ウエハ」ということがある)を処理する方法である。方法MTは、図1に示すように、工程ST1~ST4、シーケンスSQ、工程ST6~ST8を備える。シーケンスSQは、工程ST5a~ST5dを備える。また、一実施形態の方法MTは、単一のプラズマ処理装置(後述のプラズマ処理装置10)を用いて実行することが可能であるが、方法MTの各工程に応じて、複数のプラズマ処理装置10が用いられ得る。
図2は、プラズマ処理装置の一例を示す図である。図2には、被処理体を処理する方法の種々の実施形態で利用可能なプラズマ処理装置10の断面構造が概略的に示されている。図2に示すように、プラズマ処理装置10は、容量結合型プラズマエッチング装置である。
プラズマ処理装置10は、処理容器12、排気口12e、搬入出口12g、支持部14、載置台PD、直流電源22、スイッチ23、冷媒流路24、配管26a、配管26b、上部電極30、絶縁性遮蔽部材32、電極板34、ガス吐出孔34a、電極支持体36、ガス拡散室36a、ガス通流孔36b、ガス導入口36c、ガス供給管38、ガスソース群40、バルブ群42、流量制御器群45、デポシールド46、排気プレート48、排気装置50、排気管52、ゲートバルブ54、第1の高周波電源62、第2の高周波電源64、整合器66、整合器68、電源70、制御部Cnt、フォーカスリングFR、ヒータ電源HP、ヒータHTを備える。載置台PDは、静電チャックESC、下部電極LEを備える。下部電極LEは、第1プレート18a、第2プレート18bを備える。処理容器12は、処理空間Spを画成する。
処理容器12は、略円筒形状を有する。処理容器12は、例えば、アルミニウムから構成される。処理容器12の内壁面は、陽極酸化処理が施されている。処理容器12は、保安接地される。
支持部14は、処理容器12の内側において、処理容器12の底部上に設けられる。支持部14は、略円筒状の形状を備える。支持部14は、例えば、絶縁材料から構成される。支持部14を構成する絶縁材料は、石英のように酸素を含み得る。支持部14は、処理容器12内において、処理容器12の底部から鉛直方向に延在する。
載置台PDは、処理容器12内に設けられる。載置台PDは、支持部14によって支持される。載置台PDは、載置台PDの上面において、ウエハWを保持する。ウエハWは、被処理体である。載置台PDは、下部電極LEおよび静電チャックESCを有する。
下部電極LEは、第1プレート18aおよび第2プレート18bを含む。第1プレート18aおよび第2プレート18bは、例えばアルミニウムといった金属から構成される。第1プレート18aおよび第2プレート18bは、略円盤状の形状を備える。第2プレート18bは、第1プレート18a上に設けられる。第2プレート18bは、第1プレート18aに電気的に接続される。
静電チャックESCは、第2プレート18b上に設けられる。静電チャックESCは、一対の絶縁層の間、または、一対の絶縁シートの間において導電膜の電極を配置した構造を有する。直流電源22は、スイッチ23を介して、静電チャックESCの電極に電気的に接続される。静電チャックESCは、直流電源22からの直流電圧によって生じたクーロン力等の静電力によって、ウエハWを吸着する。これによって、静電チャックESCは、ウエハWを保持することができる。
フォーカスリングFRは、ウエハWのエッジおよび静電チャックESCを囲むように、第2プレート18bの周縁部上に配置される。フォーカスリングFRは、エッチングの均一性を向上させるために設けられる。フォーカスリングFRは、エッチング対象の膜の材料によって適宜選択される材料から構成されており、例えば、石英から構成され得る。
冷媒流路24は、第2プレート18bの内部に設けられる。冷媒流路24は、温調機構を構成する。冷媒流路24には、処理容器12の外部に設けられるチラーユニットから配管26aを介して冷媒が供給される。冷媒流路24に供給される冷媒は、配管26bを介してチラーユニットに戻される。このように、冷媒流路24には、冷媒が循環するよう、供給される。この冷媒の温度を制御することによって、静電チャックESCによって支持されるウエハWの温度が制御される。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャックESCの上面とウエハWの裏面との間に供給する。
ヒータHTは、加熱素子である。ヒータHTは、例えば、第2プレート18b内に埋め込まれる。ヒータ電源HPは、ヒータHTに接続される。ヒータ電源HPからヒータHTに電力が供給されることによって、載置台PDの温度が調整され、そして、当該載置台PD上に載置されるウエハWの温度が調整される。なお、ヒータHTは、静電チャックESCに内蔵され得る。
上部電極30は、載置台PDの上方において、載置台PDと対向配置される。下部電極LEと上部電極30とは、互いに略平行に設けられる。上部電極30と下部電極LEとの間には、処理空間Spが提供される。処理空間Spは、プラズマ処理をウエハWに行うための空間領域である。
上部電極30は、絶縁性遮蔽部材32を介して、処理容器12の上部に支持される。絶縁性遮蔽部材32は、絶縁材料から構成されており、例えば、石英のように酸素を含み得る。上部電極30は、電極板34および電極支持体36を含み得る。電極板34は、処理空間Spに面している。電極板34は、複数のガス吐出孔34aを備える。電極板34は、一実施形態では、シリコンから構成され得る。別の実施形態では、電極板34は、酸化シリコンから構成され得る。
電極支持体36は、電極板34を着脱自在に支持するものであり、例えばアルミニウムといった導電性材料から構成され得る。電極支持体36は、水冷構造を有し得る。ガス拡散室36aは、電極支持体36の内部に設けられる。複数のガス通流孔36bのそれぞれは、ガス吐出孔34aに連通する。複数のガス通流孔36bのそれぞれは、ガス拡散室36aから下方に(載置台PDの側に向けて)延びる。
ガス導入口36cは、ガス拡散室36aに対して処理ガスを導く。ガス導入口36cは、電極支持体36に設けられる。ガス供給管38は、ガス導入口36cに接続される。
ガスソース群40は、バルブ群42および流量制御器群45を介して、ガス供給管38に接続される。ガスソース群40は、複数のガスソースを有する。複数のガスソースは、アミノシラン系ガスのソース、酸素ガスのソース、水素ガスのソース、窒素ガスのソース、二酸化炭素ガスのソース、フルオロカーボンガスのソース、および、希ガスのソースを含み得る。アミノシラン系ガス(後述の第2のガスG1に含まれるガス)としては、アミノ基の数が比較的に少ない分子構造のものが用いられることができ、例えば、モノアミノシラン(H-Si-R(Rは有機を含んでおり置換されていても良いアミノ基))が用いられ得る。上記のアミノシラン系ガス(後述の第2のガスG1に含まれるガス)は、1~3個のケイ素原子を有し得るアミノシランを含むことができ、または、1~3個のアミノ基を有するアミノシランを含むことができる。1~3個のケイ素原子を有するアミノシランは、1~3個のアミノ基を有するモノシラン(モノアミノシラン)、1~3個のアミノ基を有するジシラン、または、1~3個のアミノ基を有するトリシランであり得る。さらに、上記のアミノシランは、置換されていてもよいアミノ基を有し得る。さらに、上記のアミノ基は、メチル基、エチル基、プロピル基、および、ブチル基の何れかによって置換され得る。さらに、上記のメチル基、エチル基、プロピル基、または、ブチル基は、ハロゲンによって置換され得る。フルオロカーボンガスとしては、CFガス、Cガス、Cガスといった任意のフルオロカーボンガスが用いられ得る。また、希ガスとしては、Heガス、Arガスといった任意の希ガスが用いられ得る。
バルブ群42は、複数のバルブを含む。流量制御器群45は、マスフローコントローラといった複数の流量制御器を含む。ガスソース群40の複数のガスソースのそれぞれは、バルブ群42の対応のバルブ、および、流量制御器群45の対応の流量制御器を介して、ガス供給管38に接続される。従って、プラズマ処理装置10は、ガスソース群40の複数のガスソースのうち選択された一以上のガスソースからのガスを、個別に調整された流量で、処理容器12内に供給することが可能である。また、プラズマ処理装置10では、処理容器12の内壁に沿ってデポシールド46が着脱自在に設けられている。デポシールド46は、支持部14の外周にも設けられている。デポシールド46は、処理容器12にエッチング副生物(デポ)が付着することを防止するものであり、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。デポシールドは、Yの他、例えば、石英のように酸素を含む材料から構成され得る。
排気プレート48は、処理容器12の底部側であって、且つ、支持部14と処理容器12の側壁との間に設けられている。排気プレート48は、例えば、アルミニウム材にY等のセラミックスを被覆することによって構成され得る。排気口12eは、排気プレート48の下方において、処理容器12に設けられている。排気装置50は、排気管52を介して排気口12eに接続される。排気装置50は、ターボ分子ポンプなどの真空ポンプを有しており、処理容器12内の空間を所望の真空度まで減圧することができる。搬入出口12gは、ウエハWの搬入出口である。搬入出口12gは、処理容器12の側壁に設けられる。搬入出口12gは、ゲートバルブ54によって開閉可能である。
第1の高周波電源62は、プラズマ生成用の第1の高周波電力を発生する電源であり、27~100[MHz]の周波数、一例においては40[MHz]の高周波電力を発生する。第1の高周波電源62は、整合器66を介して上部電極30に接続される。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスとを整合させるための回路である。なお、第1の高周波電源62は、整合器66を介して下部電極LEに接続されることもできる。
第2の高周波電源64は、ウエハWにイオンを引き込むための第2の高周波電力、即ち高周波バイアス電力を発生する電源であり、400[kHz]~40.68[MHz]の範囲内の周波数、一例においては3.2[MHz]の高周波バイアス電力を発生する。第2の高周波電源64は、整合器68を介して下部電極LEに接続される。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスとを整合させるための回路である。また、電源70は、上部電極30に接続される。電源70は、処理空間Sp内に存在する正イオンを電極板34に引き込むための電圧を、上部電極30に印加する。一例においては、電源70は、負の直流電圧を発生する直流電源である。このような電圧が電源70から上部電極30に印加されると、処理空間Spに存在する正イオンが、電極板34に衝突する。これにより、電極板34から二次電子および/またはシリコンが放出される。
制御部Cntは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置10の各部を制御する。具体的に、制御部Cntは、バルブ群42、流量制御器群45、排気装置50、第1の高周波電源62、整合器66、第2の高周波電源64、整合器68、電源70、ヒータ電源HP、およびチラーユニットに接続されている。
制御部Cntは、入力されたレシピに基づくプログラムに従って動作し、制御信号を送出する。制御部Cntからの制御信号によって、ガスソース群から供給されるガスの選択および流量と、排気装置50の排気と、第1の高周波電源62および第2の高周波電源64からの電力供給と、電源70からの電圧印加と、ヒータ電源HPの電力供給と、チラーユニットからの冷媒流量および冷媒温度と、を制御することが可能である。なお、本明細書において開示される被処理体を処理する方法(図1に示す方法MT)の各工程は、制御部Cntによる制御によってプラズマ処理装置10の各部を動作させることによって、実行され得る。
次に、一実施形態に係る方法MTを、図1を参照して詳細に説明する。以下の説明において、図1および図2と共に、図3、図4を参照する。図3の(a)部は、図1に示す主要な工程の実施前の被処理体の状態を示す断面図である。図3の(b)部~(e)部は、図1に示す主要な工程の実施後の被処理体の状態を示す断面図である。図4の(a)部~(c)部は、図1に示すシーケンスの実行によって膜が形成される様子を模式的に示す。
工程ST1において、図3の(a)部に示すウエハWを、図2に示すウエハWとして準備する。工程ST1では、図3の(a)部に示すウエハWが準備され、ウエハWがプラズマ処理装置10の処理容器12内に収容され、載置台PD上に載置される。工程ST1において準備されるウエハWは、図3の(a)部に示すように、基板SB、被エッチング層EL、有機膜OL、反射防止膜AL、および、マスクMK1を有する。
被エッチング層ELは、基板SB上に設けられる。被エッチング層ELは、有機膜OLに対して選択的にエッチングされる材料から構成される層であり絶縁膜が用いられる。被エッチング層ELは、例えば、酸化シリコン(SiO)から構成され得る。なお、被エッチング層ELは、多結晶シリコン、シリコンナイトライド(SiN)といった他の材料から構成されることができる。
有機膜OLは、被エッチング層EL上に設けられる。有機膜OLは、例えば、炭素またはシリコンを含む層でありSOH(スピンオンハードマスク)層であり得る。有機膜OLは、後述する保護膜SXの材料(シリコン酸化膜)に対し選択的にエッチングが可能な材料であれば、上記のSOHに限られない。例えば、有機膜OLの材料としては、上記のSOHの他に、SiN、多結晶シリコン、アモルファスシリコン等であり得る。反射防止膜ALは、シリコン含有の反射防止膜であり、有機膜OL上に設けられる。
なお、以下の説明においては、便宜上のために、有機膜OLを第1の領域VL1と第2の領域VL2とに分ける。すなわち、有機膜OLは、第1の領域VL1と第2の領域VL2とによって構成されるものとする。第1の領域VL1と第2の領域VL2との境界面は物理的な面ではなく仮想的な面である。第1の領域VL1と第2の領域VL2とは、同一の材料からなり、ウエハWの表面に沿って延びている。第2の領域VL2の厚みLMは、例えば、10[nm]以上20[nm]以下の程度である。マスクMK1は第1の領域VL1上に設けられ、第1の領域VL1は第2の領域VL2上に設けられ、第2の領域VL2は被エッチング層EL上に設けられる。
マスクMK1は、反射防止膜AL上に設けられる。マスクMK1は、レジスト材料から構成されたレジストマスクであり、フォトリソグラフィ技術によってレジスト層がパターニングされることによって作製される。マスクMK1は、反射防止膜ALを部分的に覆っている。マスクMK1は、反射防止膜ALを部分的に露出させる開口(パターン)を画成している。マスクMK1のパターンは、例えば、ライン・アンド・スペースパターンである。マスクMK1は、平面視において円形の開口を提供するパターンを有することができる。或いは、マスクMK1は、平面視において楕円形状の開口を提供するパターンを有することができる。
工程ST1に引き続く工程ST2では、反射防止膜ALをエッチングする。具体的には、ガスソース群40の複数のガスソースのうち選択したガスソースから、フルオロカーボンガスを含む処理ガスとして処理容器12内に供給する。そして、第1の高周波電源62から高周波電力を供給する。第2の高周波電源64から高周波バイアス電力を供給する。排気装置50を動作させることによって、処理容器12内の空間の圧力を所定の圧力に設定する。これによって、フルオロカーボンガスを含む処理ガスのプラズマが生成される。生成されたプラズマ中のフッ素を含む活性種は、反射防止膜ALの全領域のうちマスクMK1から露出した領域をエッチングする。これによって、反射防止膜ALからマスクALMが形成される。マスクALMは、有機膜OLに対するエッチングにおいてマスクとして用いられる。
工程ST2に引き続く工程ST3では、ウエハWが収容されたプラズマ処理装置10の処理容器12内において、第1のガスのプラズマを生成し、該プラズマとマスクALMとを用いて第1の領域VL1を第2の領域VL2に至るまでエッチングし、第1の領域VL1からマスクOLM1を形成する。具体的には、ガスソース群40の複数のガスソースのうち選択したガスソースから、水素ガスと窒素ガスとを含む第1のガスを処理ガスとして処理容器12内に供給する。そして、第1の高周波電源62から高周波電力を供給する。第2の高周波電源64から高周波バイアス電力を供給する。排気装置50を動作させることによって、処理容器12内の圧力を所定の圧力に設定する。以上のようにして、第1のガスのプラズマが処理容器12の処理空間Sp内で生成される。生成されたプラズマ中の水素の活性種である水素ラジカルは、有機膜OLの全領域のうち、工程ST2で反射防止膜ALから形成されたマスクALMから露出した領域をエッチングする。
工程ST3では、図3の(b)部に示すように、有機膜OLのうち、第1の領域VL1をエッチングする、すなわち有機膜OLと被エッチング層ELとの界面(被エッチング層ELの表面FC)から厚みLMに至るまで有機膜OLをエッチングする。換言すれば、工程ST3では、厚みLMの膜が残るように、すなわち、第2の領域VL2が残るように、有機膜OLをエッチングする。工程ST3によって、マスクOLM1と有機膜OL1とが有機膜OLから形成される。有機膜OL1は、有機膜OLのうち工程ST3でエッチングされずに残る部分であり、有機膜OLの第2の領域VL2である。有機膜OL1は、被エッチング層ELの表面FC上に設けられている。有機膜OL1は、厚みLMを有する。マスクOLM1は、有機膜OL1上に設けられている。マスクALMおよびマスクOLM1は、有機膜OL1に対するエッチングにおいてマスクとして用いられる。また、水素ガスと窒素ガスとを含む第1のガスによって、有機膜OLの第1の領域VL1の側面の保護と有機膜OLに対するエッチングとが良好に両立し得るので、工程ST3によって有機膜OLの第1の領域VL1から形成されるマスクOLM1の垂直性が良好に実現され得る。
工程ST3に引き続く工程ST4では、保護膜SXをマスクOLM1の側面SFにコンフォーマルに形成する一連の工程(工程ST3から工程ST7までの一連の工程)は、有機膜OLの第1の領域VL1を第2の領域VL2に至るまでエッチングした後(工程ST3の後)であってマスクOLM1の側面SFに保護膜SXをコンフォーマルに形成する前において、処理容器12内でプラズマを発生させて処理容器12に設けられた上部電極30に負の直流電圧を印可することによって、マスクALMの表面とマスクOLM1の側面SFとに、二次電子を照射し、酸化シリコンの保護膜を形成する。なお、方法MTは、工程ST3の実行後に、工程ST4を実行せずに工程ST5a(シーケンスSQ)を実行することもできる。
工程ST4に引き続き、図1に示す方法MTでは、シーケンスSQを一回以上実行する。工程ST4の実行後において、シーケンスSQの開始から後述の工程ST7に至るまでの一連の工程は、マスクOLM1の側面SFに保護膜SXをコンフォーマルに形成する工程である。シーケンスSQは、工程ST5a、工程ST5b、工程ST5c、および、工程ST5dを含む。
まず、工程ST5aでは、処理容器12内に、シリコンを含有する第2のガスG1を導入する。第2のガスG1は、アミノシラン系ガスを含む。ガスソース群40の複数のガスソースのうち選択したガスソースから、第2のガスG1を処理容器12内に供給する。第2のガスG1は、アミノシラン系ガスとして、モノアミノシラン(H-Si-R(Rはアミノ基))が用いられる。工程ST5aでは、第2のガスG1のプラズマを生成しない。
図4の(a)部に示すように、第2のガスG1の分子が反応前駆体としてウエハWの表面に付着する。第2のガスG1の分子(モノアミノシラン)は、化学結合に基づく化学吸着によってウエハWの表面に付着するのであり、プラズマは用いられない。なお、当該温度範囲で化学結合によって表面に付着可能であって且つシリコンを含有するものであれば、モノアミノシラン以外のガスの利用も可能である。
第2のガスG1にモノアミノシラン系ガスが選択される理由は、モノアミノシランが比較的に高い電気陰性度を有し且つ極性を有する分子構造を有することによって化学吸着が比較的に容易に行われ得る、ということに起因する。第2のガスG1の分子がウエハWの表面に付着することによって形成される層Ly1は、当該付着が化学吸着であるために単分子層(単層)に近い状態となる。モノアミノシランのアミノ基(R)が小さいほど、ウエハWの表面に吸着される分子の分子構造も小さくなるので、分子の大きさに起因する立体障害が低減され、よって、第2のガスG1の分子がウエハWの表面に均一に吸着でき、層Ly1はウエハWの表面に対し均一な膜厚で形成され得る。例えば、第2のガスG1に含まれるモノアミノシラン(H-Si-R)がウエハWの表面のOH基と反応することによって、反応前駆体のH-Si-Oが形成され、よって、H-Si-Oの単分子層である層Ly1が形成される。従って、ウエハWの表面に対し、反応前駆体の層Ly1が、ウエハWのパターン密度に依存せずに、均一な膜厚でコンフォーマルに形成され得る。
工程ST5aに引き続く工程ST5bでは、処理容器12内の空間をパージする。具体的には、工程ST5aにおいて供給された第2のガスG1が排気される。工程ST5bでは、パージガスとして窒素ガスといった不活性ガスを処理容器12に供給してもよい。即ち、工程ST5bのパージは、不活性ガスを処理容器12内に流すガスパージ、または真空引きによるパージの何れであってもよい。工程ST5bでは、ウエハW上に過剰に付着した分子も除去され得る。以上によって、反応前駆体の層Ly1は極めて薄い単分子層となる。
工程ST5bに引き続く工程ST5cでは、処理容器12内において第3のガスのプラズマP1を生成する。具体的には、ガスソース群40の複数のガスソースのうち選択したガスソースから、二酸化炭素ガスを含む第3のガスを処理容器12内に供給する。第3のガスは、二酸化炭素ガスの他に、酸素原子を含有する他のガスであり得え、例えば、酸素ガスでもあり得る。そして、第1の高周波電源62から高周波電力を供給する。この場合、第2の高周波電源64のバイアス電力を印加することもできる。また、第1の高周波電源62を用いずに第2の高周波電源64のみを用いてプラズマを生成することもできる。排気装置50を動作させることによって、処理容器12内の空間の圧力を所定の圧力に設定する。
上述したように工程ST5aの実行によってウエハWの表面に付着した分子(層Ly1の単分子層を構成する分子)は、シリコンと水素との結合を含む。シリコンと水素との結合エネルギーは、シリコンと酸素との結合エネルギーよりも低い。従って、図4の(b)部に示すように、二酸化炭素ガスを含む第3のガスのプラズマP1が生成されると、酸素の活性種、例えば、酸素ラジカルが生成され、層Ly1の単分子層を構成する分子の水素が酸素に置換され、図4の(c)部に示すように、シリコン酸化膜である層Ly2が単分子層として形成される。
工程ST5cに引き続く工程ST5dでは、処理容器12内の空間をパージする。具体的には、工程ST5cにおいて供給された第3のガスが排気される。工程ST5dでは、パージガスとして窒素ガスといった不活性ガスが処理容器12に供給してもよい。即ち、工程ST5dのパージは、不活性ガスを処理容器12内に流すガスパージ、または真空引きによるパージの何れであってもよい。
以上説明したシーケンスSQにおいては、工程ST5bにおいてパージが行われ、工程ST5bに引き続く工程ST5cにおいて層Ly1を構成する分子の水素が酸素に置換される。したがって、ALD法と同様に、1回のシーケンスSQの実行によって、シリコン酸化膜の層Ly2を、ウエハWの表面上(特にマスクOLM1の側面SF上)に、マスクMK1のパターンの疎密によらず薄く均一な膜厚でコンフォーマルに、形成することができる。
シーケンスSQに引き続く工程ST6では、シーケンスSQの実行を終了するか否かを判定する。具体的には、工程ST6では、シーケンスSQの実行回数が所定回数に達したか否かを判定する。シーケンスSQの実行回数の決定は、図3の(c)部に示すウエハW上(特にマスクOLM1の側面SF上)に形成されるシリコン酸化膜の保護膜SXの厚みを決定することである。即ち、1回のシーケンスSQの実行によって形成されるシリコン酸化膜の膜厚とシーケンスSQの実行回数との積によって、最終的にウエハW上に形成される保護膜SXの厚みが実質的に決定される。したがって、ウエハW上に形成される保護膜SXの所望の厚みに応じて、シーケンスSQの実行回数が設定される。
工程ST6においてシーケンスSQの実行回数が所定回数に達していないと判定される場合には(工程ST6:NO)、シーケンスSQの実行が再び繰り返される。一方、工程ST6においてシーケンスSQの実行回数が所定回数に達していると判定される場合には(工程ST6:YES)、シーケンスSQの実行が終了される。これによって、図3の(c)部に示すように、ウエハWの表面上(特にマスクOLM1の側面SF上)にシリコン酸化膜の保護膜SXが形成される。すなわち、シーケンスSQの実行回数が所定回数だけ繰り返されることによって、所定の膜厚を有する保護膜SXが、マスクMK1のパターンの疎密によらず均一の膜みでコンフォーマルに、ウエハWの表面(特にマスクOLM1の側面SF)に形成される。マスクOLM1の側面SFにおける保護膜SXの厚みは、シーケンスSQの実行回数が少ないほど、減少する。また、マスクOLM1の側面SFにおける保護膜SXの厚みは、マスクOLM1の上端(マスクALMが設けられている側のマスクOLM1の一端)からマスクOLM1の下端(有機膜OL1が設けられている側のマスクOLM1の他端)に向けて減少する。
保護膜SXは、図3の(c)部に示すように、領域R1、領域R2、および、領域R3を含む。領域R3は、マスクALMの側面上、および、マスクOLM1の側面SF上において、各側面に沿って延在する領域である。領域R3は、工程ST3によって形成された有機膜OL1の表面から領域R1の下側まで延在している。領域R1は、マスクALMの上面の上および領域R3上で延在している。領域R2は、隣接する領域R3の間で、且つ、工程ST3で形成された有機膜OL1の表面上で延在している。上述したように、シーケンスSQが繰り返されることによって、ALD法と同様に保護膜SXが形成されるので、マスクMK1のパターンの疎密によらずに、領域R1、領域R2、および、領域R3のそれぞれの膜厚は、互いに略等しい膜厚となる。
工程ST6:YESに引き続く工程ST7では、領域R1および領域R2を除去するように、保護膜SXをエッチング(エッチバック)する。工程ST7では、シーケンスSQを繰り返し実行した後に処理容器12内において第4のガスのプラズマを生成し、シーケンスSQを繰り返し実行することによって特に第2の領域VL2の表面に形成された膜(保護膜SXの領域R2)を、該プラズマを用いて除去(エッチバック)する。工程ST7では、保護膜SXの領域R2が除去されると共に、領域R1も除去される。領域R1および領域R2の除去のためには、異方性のエッチング条件が必要である。このため、工程ST7では、ガスソース群40の複数のガスソースのうち選択したガスソースから、フッ素を含有する第4のガスを含む処理ガスを処理容器12内に供給する。第4のガスは、フッ素を含有するガスであり、例えば、フルオロカーボンガスであり得る。そして、第1の高周波電源62から高周波電力を供給する。第2の高周波電源64から高周波バイアス電力を供給する。排気装置50を動作させることによって、処理容器12内の空間の圧力を所定の圧力に設定する。これによって、フルオロカーボンガスのプラズマが生成される。生成されたプラズマ中のフッ素を含む活性種は、高周波バイアス電力による鉛直方向への引き込みによって、領域R1および領域R2を優先的にエッチングする。その結果、図3の(d)部に示すように、領域R1および領域R2が選択的に除去されて残された領域R3と、マスクOLM1と、によってマスクMK2が形成される。マスクMK2は、領域R3とマスクOLM1と共に、マスクALMを更に含み得る。マスクMK2は、有機膜OLの第2の領域VL2に対するエッチングにおいてマスクとして用いられる。
工程ST7に引き続く工程ST8では、マスクMK2を用いて、マスクOLM1の形状を維持しつつ、第2の領域VL2を被エッチング層ELに至るまでエッチングし、第2の領域VL2からマスクOLM2を形成する。工程ST8における第2の領域VL2のエッチングでは、処理容器12内において、第5のガスのプラズマを生成し、該プラズマとマスクMK2(マスクOLM1および領域R3を含む)とを用いて、第2の領域VL2をエッチングする。工程ST8は、工程ST8aおよび工程ST8bを含む。工程ST8では、まず工程ST7に引き続き工程ST8aが実行され、工程ST8aに引き続き工程ST8bが実行される。具体的には、工程ST8aと工程ST8bとの何れの工程においても、ガスソース群40の複数のガスソースのうち選択したガスソースから、酸素ガスを含む第5のガスを処理ガスとして処理容器12内に供給する。第1の高周波電源62から高周波電力を供給する。第2の高周波電源64から高周波バイアス電力を供給する。これによって、第5のガスのプラズマが生成される。そして、排気装置50を動作させることによって、処理容器12内の空間の圧力を、工程ST8aおよび工程ST8bのそれぞれに応じた所定の圧力に設定する。
工程ST8aのプロセス条件と工程ST8bのプロセス条件との相違点は、処理容器12内の空間の圧力および処理時間のみである。工程ST8aの実行期間は、処理容器12内の圧力が第1の圧力となる期間であり、工程ST8bの実行期間は、工程ST8aの実行期間の後において、処理容器12内の圧力が第2の圧力となる期間である。工程ST8bにおいて設定される処理容器12内の空間の第2の圧力は、工程ST8aにおいて設定される処理容器12内の空間の第1の圧力よりも高い。処理容器12内の空間の圧力が比較的に高い工程ST8bによって、図3の(e)に示すように、マスクOLM1の幅PM1を維持した状態で、マスクOLM2の幅PM2を制御できる。工程ST8bの処理時間は、工程ST8によって形成されるマスクOLM2の幅に応じて調節可能であり、例えば、工程ST8aの処理時間と等しくし得る、または、工程ST8aの処理時間よりも長くし得る。工程ST8bの処理時間が増加すれば、マスクOLM2の幅は減少する。
工程ST8によって、図3の(e)部に示すように、有機膜OL1が被エッチング層ELの表面FCに至るまでエッチングされ、有機膜OL1からマスクOLM2が形成される。マスクOLM2は、被エッチング層ELの表面FCに設けられ、マスクOLM2上にはマスクOLM1が設けられている。マスクOLM2は、マスクOLM1と被エッチング層ELとの間に設けられている。マスクALM、マスクOLM1、および、マスクOLM2は、被エッチング層ELに対するエッチングにおいてマスクとして用いられる。
以下、工程ST2~工程ST4、工程ST5a、工程ST5c、工程ST7、工程ST8a、工程ST8b、および、シーケンスSQのそれぞれの主なプロセス条件の実施例を示す。
<工程ST2>
・処理容器12内の圧力[mTorr]:50[mTorr]
・第1の高周波電源62の高周波電力の値[W]:500[W]
・第2の高周波電源64の高周波電力の値[W]:300[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス:CFガス
・処理ガスの流量[sccm]:600[sccm]
・処理時間[s]:28[s]
<工程ST3>
・処理容器12内の圧力[mTorr]:20[mTorr]
・第1の高周波電源62の高周波電力の値[W]:500[W]
・第2の高周波電源64の高周波電力の値[W]:400[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス(第1のガス):N/Hガス
・処理ガスの流量[sccm]:(Nガス)200[sccm]、(Hガス)200[sccm]
・処理時間[s]:40[s]
<工程ST4>
・処理容器12内の圧力[mTorr]:50[mTorr]
・第1の高周波電源62の高周波電力の値[W]:300[W]
・第2の高周波電源64の高周波電力の値[W]:0[W]
・電源70の直流電圧の値[V]:-900[V]
・処理ガス:H/Arガス
・処理ガスの流量[sccm]:(Hガス)100[sccm]、(Arガス)800[sccm]
・処理時間[s]:60[s]
<工程ST5a>
・処理容器12内の圧力[mTorr]:100[mTorr]
・第1の高周波電源62の高周波電力の値[W]:0[W]
・第2の高周波電源64の高周波電力の値[W]:0[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス(第2のガス):モノアミノシラン(H3-Si-R(Rはアミノ基)
・処理ガスの流量[sccm]:50[sccm]
・処理時間[s]:15[s]
<工程ST5c>
・処理容器12内の圧力[mTorr]:200[mTorr]
・第1の高周波電源62の高周波電力の値[W]:300[W]、10[kHz]、Duty50
・第2の高周波電源64の高周波電力の値[W]:0[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス:COガス
・処理ガスの流量[sccm]:300[sccm]
・処理時間[s]:5[s]
なお、工程ST5cでは、上記のプロセス条件のもとで行う処理の実行前に、以下の処理が実行される。
・処理容器12内の圧力[mTorr]:0[mTorr]
・第1の高周波電源62の高周波電力の値[W]:0[W]
・第2の高周波電源64の高周波電力の値[W]:0[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス(第3のガス):COガス
・処理ガスの流量[sccm]:300[sccm]
・処理時間[s]:10[s]
<工程ST7>
・処理容器12内の圧力[mTorr]:20[mTorr]
・第1の高周波電源62の高周波電力の値[W]:100[W]
・第2の高周波電源64の高周波電力の値[W]:100[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス:CF/Arガス
・処理ガスの流量[sccm]:(CFガス)50[sccm]、(Arガス)300[sccm]
・処理時間[s]:25[s]
<工程ST8a>
・処理容器12内の圧力[mTorr](第1の圧力):20[mTorr]
・第1の高周波電源62の高周波電力の値[W]:300[W]
・第2の高周波電源64の高周波電力の値[W]:0[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス(第5のガス):Oガス
・処理ガスの流量[sccm]:1000[sccm]
・処理時間[s]:40[s]
<工程ST8b>
・処理容器12内の圧力[mTorr](第2の圧力):60[mTorr]
・第1の高周波電源62の高周波電力の値[W]:300[W]
・第2の高周波電源64の高周波電力の値[W]:0[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス(第5のガス):Oガス
・処理ガスの流量[sccm]:1000[sccm]
・処理時間[s]:30[s]
<シーケンスSQ>
・繰り返し回数:10回
以上で説明したように、方法MTでは、有機膜OLをエッチングする工程が二つの工程ST3および工程ST8に分けられている。有機膜OLをエッチングする一つ目の工程ST3では、有機膜OLのうち第1の領域VL1を第1のガスのプラズマによってエッチングし、マスクOLM1の側面SFに保護膜SXを予め形成し(シーケンスSQから工程ST7)、この後に、有機膜OLをエッチングする二つ目の工程ST8では、マスクOLM1と保護膜SXとを用いて、マスクOLM1の形状を維持しつつ、有機膜OLのうち残余の第2の領域VL2を被エッチング層ELに至るまでエッチングする。このように、有機膜OLのエッチングにおいて、工程ST8では、マスクOLM1の幅PM1のエッチングによる減少が保護膜SXによって抑制され得るので、工程ST8においてマスクOLM2の幅PM2がマスクOLM1の幅PM1とは独立に制御され得る。すなわち、有機膜OLのTop CD(マスクOLM1の幅PM1に対応)とBottom CD(マスクOLM2の幅PM2に対応)を独立に制御することができる。
また、水素ガスと窒素ガスとを含む第1のガスのプラズマによって、有機膜OLに対するエッチングが垂直性良く行え、よって、エッチングによるパターン幅の変動が抑制され得る。
また、保護膜SXが酸化膜であるので、酸化膜に対し高選択比のエッチングが第2の領域VL2に対して行われる場合には、第2の領域VL2に対するエッチング量(特に、被エッチング層ELの表面FCに沿った方向におけるエッチング量)が良好に制御され得る。
また、シーケンスSQから工程ST7までの一連の工程は、ALD(Atomic Layer Deposition)法と同様の方法によって、マスクOLM1の側面SFに保護膜SXがコンフォーマルに形成されるので、マスクOLM1に対する保護の強度が向上されると共に、マスクOLM1を保護する保護膜SXが均一な膜厚で形成できる。
また、第2のガスがアミノシラン系ガスを含むので、工程ST5aによって、シリコンの反応前駆体がマスクOLM1の側面SF等の原子層に沿ってマスクOLM1等の上に形成される。
また、モノアミノシランを含む第2のガスを用いて、工程ST5aにおいてシリコンの反応前駆体の形成が行える。
また、第2のガスに含まれるアミノシラン系ガスには、1~3個のケイ素原子を有するアミノシランを用いることができる。また、第2のガスに含まれるアミノシラン系ガスには、1~3個のアミノ基を有するアミノシランを用いることができる。
また、第3のガスが酸素原子を含むので、工程6cにおいて、当該酸素原子がマスクOLM1等の上に設けられるシリコンの反応前駆体と結合することによって、マスクOLM1等の上に酸化シリコンの保護膜(保護膜SX)がコンフォーマルに形成され得る。また、第3のガスが二酸化炭素ガスの場合、第3のガスが炭素原子を含むので、酸素原子によるマスクOLM1等に対する浸食が当該炭素原子によって抑制され得る。
また、シーケンスSQを繰り返し実行することによって形成される膜に対するエッチングがフッ素を含む第4のガスのプラズマを用いて異方的に行われ、第2の領域VL2の表面に形成された膜(保護膜SXの領域R2)が選択的に除去され得るので、この除去の後において、第2の領域VL2に対するエッチングが可能となる。
また、工程ST7では、第5のガスのプラズマを用いて、第2の領域VL2のエッチングが行われ得る。
また、工程ST8において、処理容器12内の圧力を増加させることによって、第2の領域VL2に対するエッチングを、被エッチング層ELの表面FCに沿った方向に進めることができる。従って、工程ST8においてマスクOLM2の幅PM2を好適に制御できる。
また、第5のガスが酸素ガスを含むので、有機膜OLに対するエッチング、特に、被エッチング層ELの表面FCに沿った方向における有機膜OL(特に第2の領域VL2)に対するエッチングが良好に行える。
また、工程ST4において、第1の領域VL1を第2の領域VL2に至るまでエッチングし、マスクOLM1が形成された後にマスクOLM1(第1の領域VL1)に二次電子を照射するので、保護膜SXの形成前にマスクOLM1を改質することができ、後続の工程によるマスクOLM1の損傷を抑制することができる。
また、工程ST8の実行前において、第2の領域VL2の厚みが10[nm]以上20[nm]以下であれば、工程ST8においてマスクOLM2の幅PM2が良好に調節し得る。
以上、好適な実施の形態において本発明の原理を図示し説明してきたが、本発明は、そのような原理から逸脱することなく配置および詳細において変更され得ることは、当業者によって認識される。本発明は、本実施の形態に開示された特定の構成に限定されるものではない。したがって、特許請求の範囲およびその精神の範囲から来る全ての修正および変更に権利を請求する。
10…プラズマ処理装置、12…処理容器、12e…排気口、12g…搬入出口、14…支持部、18a…第1プレート、18b…第2プレート、22…直流電源、23…スイッチ、24…冷媒流路、26a…配管、26b…配管、28…ガス供給ライン、30…上部電極、32…絶縁性遮蔽部材、34…電極板、34a…ガス吐出孔、36…電極支持体、36a…ガス拡散室、36b…ガス通流孔、36c…ガス導入口、38…ガス供給管、40…ガスソース群、42…バルブ群、45…流量制御器群、46…デポシールド、48…排気プレート、50…排気装置、52…排気管、54…ゲートバルブ、62…第1の高周波電源、64…第2の高周波電源、66…整合器、68…整合器、70…電源、AL…反射防止膜、ALM…マスク、Cnt…制御部、EL…被エッチング層、ESC…静電チャック、FC…表面、FR…フォーカスリング、G1…第2のガス、HP…ヒータ電源、HT…ヒータ、LE…下部電極、LM…厚み、Ly1…層、Ly2…層、MK1…マスク、MK2…マスク、MT…方法、OL…有機膜、OL1…有機膜、OLM1…マスク、OLM2…マスク、P1…プラズマ、PD…載置台、PM1…幅、PM2…幅、R1…領域、R2…領域、R3…領域、SB…基板、SF…側面、Sp…処理空間、SQ…シーケンス、SX…保護膜、VL1…第1の領域、VL2…第2の領域、W…ウエハ。

Claims (16)

  1.  被処理体を処理する方法であって、該被処理体は被エッチング層と該被エッチング層上に設けられた有機膜と該有機膜上に設けられたマスクとを備え、該有機膜は第1の領域と第2の領域とによって構成され、該マスクは該第1の領域上に設けられ、該第1の領域は該第2の領域上に設けられ、該第2の領域は該被エッチング層上に設けられ、該方法は、
      前記被処理体が収容されたプラズマ処理装置の処理容器内において、第1のガスのプラズマを生成し、該プラズマと前記マスクとを用いて前記第1の領域を前記第2の領域に至るまでエッチングし、該第1の領域の側面に保護膜をコンフォーマルに形成する工程と、
      前記保護膜を用いて、前記第1の領域の形状を維持しつつ、前記第2の領域を前記被エッチング層に至るまでエッチングする工程と、
     を備える、
     方法。
  2.  前記第1のガスは、水素ガスと窒素ガスとを含む、
     請求項1に記載の方法。
  3.  前記保護膜は、酸化膜である、
     請求項1または請求項2に記載の方法。
  4.  前記保護膜をコンフォーマルに形成する前記工程は、前記第1の領域を前記第2の領域に至るまでエッチングした後において、
      前記処理容器内に第2のガスを供給する工程と、
      前記第2のガスを供給する前記工程の実行後に、前記処理容器内の空間をパージする工程と、
      前記空間をパージする前記工程の実行後に、前記処理容器内において第3のガスのプラズマを生成する工程と、
      前記第3のガスのプラズマを生成する前記工程の実行後に、前記処理容器内の空間をパージする工程と、
     を含むシーケンスを繰り返し実行することによって、前記第1の領域の前記側面に前記保護膜をコンフォーマルに形成し、
     前記第2のガスを供給する前記工程は、該第2のガスのプラズマを生成しない、
     請求項1~3の何れか一項に記載の方法。
  5.  前記第2のガスは、アミノシラン系ガスを含む、
     請求項4に記載の方法。
  6.  前記第2のガスは、モノアミノシランを含む、
     請求項5に記載の方法。
  7.  前記第2のガスに含まれるアミノシラン系ガスは、1~3個のケイ素原子を有するアミノシランを含む、
     請求項5に記載の方法。
  8.  前記第2のガスに含まれるアミノシラン系ガスは、1~3個のアミノ基を有するアミノシランを含む、
     請求項5または請求項7に記載の方法。
  9.  前記第3のガスは、酸素原子を含む、
     請求項4~8の何れか一項に記載の方法。
  10.  前記第3のガスは、二酸化炭素ガスまたは酸素ガスを含む、
     請求項9に記載の方法。
  11.  前記保護膜をコンフォーマルに形成する前記工程は、
      前記シーケンスを繰り返し実行した後に前記処理容器内において第4のガスのプラズマを生成し、該シーケンスを繰り返し実行したことによって前記第2の領域の表面に形成された膜を、該プラズマを用いて除去する工程を更に含み、
     前記第4のガスは、フッ素を含む、
     請求項4~10の何れか一項に記載の方法。
  12.  前記第2の領域をエッチングする前記工程は、
      前記処理容器内において、第5のガスのプラズマを生成し、該プラズマと前記第1の領域と前記保護膜とを用いて、前記第2の領域をエッチングする、
     請求項1~11の何れか一項に記載の方法。
  13.  前記第2の領域をエッチングする前記工程は、
      前記処理容器内の圧力が第1の圧力となる期間と、該期間の後において、該処理容器内の圧力が第2の圧力となる期間とを含み、
       前記第2の圧力は、前記第1の圧力よりも高い、
     請求項12に記載の方法。
  14.  前記第5のガスは、酸素ガスを含む、
     請求項12または請求項13に記載の方法。
  15.  前記保護膜をコンフォーマルに形成する前記工程は、前記第1の領域を前記第2の領域に至るまでエッチングした後であって該第1の領域の前記側面に該保護膜をコンフォーマルに形成する前において、前記処理容器内でプラズマを発生させて該処理容器に設けられた上部電極に負の直流電圧を印可することにより、前記第1の領域に二次電子を照射する工程を備える、
     請求項1~14の何れか一項に記載の方法。
  16.  前記第2の領域をエッチングする前記工程の実行前において、該第2の領域の厚みは、10nm以上20nm以下である、
     請求項1~15の何れか一項に記載の方法。
PCT/JP2017/024508 2016-07-08 2017-07-04 被処理体を処理する方法 WO2018008640A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US16/315,812 US10692726B2 (en) 2016-07-08 2017-07-04 Method for processing workpiece
CN201780042178.4A CN109417029B (zh) 2016-07-08 2017-07-04 对被处理体进行处理的方法
KR1020197003501A KR102531901B1 (ko) 2016-07-08 2017-07-04 피처리체를 처리하는 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-136177 2016-07-08
JP2016136177A JP6788400B2 (ja) 2016-07-08 2016-07-08 被処理体を処理する方法

Publications (1)

Publication Number Publication Date
WO2018008640A1 true WO2018008640A1 (ja) 2018-01-11

Family

ID=60901369

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2017/024508 WO2018008640A1 (ja) 2016-07-08 2017-07-04 被処理体を処理する方法

Country Status (6)

Country Link
US (1) US10692726B2 (ja)
JP (1) JP6788400B2 (ja)
KR (1) KR102531901B1 (ja)
CN (1) CN109417029B (ja)
TW (1) TWI724198B (ja)
WO (1) WO2018008640A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112771646A (zh) * 2018-07-19 2021-05-07 朗姆研究公司 在硬掩模膜上的ALD SiO2沉积中的碳损失的最小化
US11404282B2 (en) * 2019-03-20 2022-08-02 Tokyo Electron Limited Method of etching film and plasma processing apparatus

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6811202B2 (ja) * 2018-04-17 2021-01-13 東京エレクトロン株式会社 エッチングする方法及びプラズマ処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62291940A (ja) * 1986-06-12 1987-12-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2008078617A (ja) * 2006-08-25 2008-04-03 Canon Inc 構造体の製造方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2015111607A (ja) * 2013-12-06 2015-06-18 大日本印刷株式会社 パターン形成方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
JP4878434B2 (ja) 2004-09-22 2012-02-15 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
JP2011233878A (ja) 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
US9142451B2 (en) * 2013-09-16 2015-09-22 Globalfoundries Inc. Reduced capacitance interlayer structures and fabrication methods
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP2016541119A (ja) * 2013-12-05 2016-12-28 東京エレクトロン株式会社 直流重ね合わせフリーズ
JP6757624B2 (ja) * 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62291940A (ja) * 1986-06-12 1987-12-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2008078617A (ja) * 2006-08-25 2008-04-03 Canon Inc 構造体の製造方法
JP2009016815A (ja) * 2007-06-08 2009-01-22 Tokyo Electron Ltd 微細パターンの形成方法
JP2015111607A (ja) * 2013-12-06 2015-06-18 大日本印刷株式会社 パターン形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112771646A (zh) * 2018-07-19 2021-05-07 朗姆研究公司 在硬掩模膜上的ALD SiO2沉积中的碳损失的最小化
US11404282B2 (en) * 2019-03-20 2022-08-02 Tokyo Electron Limited Method of etching film and plasma processing apparatus

Also Published As

Publication number Publication date
KR102531901B1 (ko) 2023-05-16
US20190252198A1 (en) 2019-08-15
CN109417029A (zh) 2019-03-01
JP6788400B2 (ja) 2020-11-25
TW201812902A (zh) 2018-04-01
CN109417029B (zh) 2023-08-15
US10692726B2 (en) 2020-06-23
JP2018006706A (ja) 2018-01-11
KR20190026844A (ko) 2019-03-13
TWI724198B (zh) 2021-04-11

Similar Documents

Publication Publication Date Title
US9859126B2 (en) Method for processing target object
US9911607B2 (en) Method of processing target object
JP6382055B2 (ja) 被処理体を処理する方法
US10763123B2 (en) Method for processing workpiece
JP6537473B2 (ja) 被処理体を処理する方法
KR102461750B1 (ko) 피처리체를 처리하는 방법
JP7061653B2 (ja) 被処理体を処理する方法
CN109219866B (zh) 蚀刻方法
JP2018037453A (ja) 被処理体を処理する方法
WO2018008640A1 (ja) 被処理体を処理する方法
WO2017170405A1 (ja) 被処理体を処理する方法
US11823903B2 (en) Method for processing workpiece
JP2018182103A (ja) エッチング方法
US9721766B2 (en) Method for processing target object
JP7045428B2 (ja) 被処理体を処理する方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17824243

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20197003501

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 17824243

Country of ref document: EP

Kind code of ref document: A1