KR101029947B1 - 플라즈마 에칭 성능 강화를 위한 방법 - Google Patents

플라즈마 에칭 성능 강화를 위한 방법 Download PDF

Info

Publication number
KR101029947B1
KR101029947B1 KR1020057006281A KR20057006281A KR101029947B1 KR 101029947 B1 KR101029947 B1 KR 101029947B1 KR 1020057006281 A KR1020057006281 A KR 1020057006281A KR 20057006281 A KR20057006281 A KR 20057006281A KR 101029947 B1 KR101029947 B1 KR 101029947B1
Authority
KR
South Korea
Prior art keywords
etching
etch
forming
layer
protective coating
Prior art date
Application number
KR1020057006281A
Other languages
English (en)
Other versions
KR20050118267A (ko
Inventor
즈쏭 황
루민 리
레자 사다디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/295,601 external-priority patent/US6833325B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20050118267A publication Critical patent/KR20050118267A/ko
Application granted granted Critical
Publication of KR101029947B1 publication Critical patent/KR101029947B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Abstract

에칭 마스크를 통하여 층에서의 피처를 에칭하는 방법이 개시되어 있다. 보호층을 패시베이션 가스 혼합물을 이용하여 에칭 마스크의 노출면과, 피처의 수직측벽에 형성한다. 하나 이상의 에칭 케미칼과 하나 이상의 패시베이션 케미칼을 포함하는 반응성 에칭 혼합물을 이용하여 에칭 마스크를 통하여 피처를 에칭한다.
트렌치 플라즈마 에칭, 패시베이션 층

Description

플라즈마 에칭 성능 강화를 위한 방법{A METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT}
발명의 배경
1. 기술분야
본 발명은 플라즈마를 이용하여 에칭 마스크에 의해 정의되는 구조체를 통하여 에칭함으로써 반도체 웨이퍼 상의 구조체를 얻는 방법에 관한 것이다.
2. 관련기술의 설명
반도체 플라즈마 에칭 애플리케이션에서는, 통상, 플라즈마 에처 (etcher) 를 이용하여, Si 웨이퍼 상의 원하는 박막 및/또는 막 스택 (도전체 또는 유전성 절연체) 의 배선패턴 및 회로 내에 포토레지스트 마스크 패턴을 전사한다. 이는 마스크 패턴의 개구 영역에서 포토레지스트 재료들의 하부에 있는 막 (및 막 스택들) 을 에칭제거하여 실시한다. 이러한 에칭 반응은 반응기 챔버라고도 하는 진공 엔클러저에 포함된 반응성 혼합물에 전기방전을 여기시켜 생성된 케미칼적 활성종 및 전기적 하전입자들 (이온들) 에 의해 개시된다. 또한, 이온들은 가스 혼합물 및 웨이퍼 재료 간에 생성되는 전기장을 통하여 웨이퍼 재료를 향하여 가속되어, 이방성 에칭이라는 방식에 의해 이온 궤도의 방향을 따라 에칭 재료들의 지향성 제거를 발생시킨다. 에칭 시퀀스의 마무리 단계에서는, 마스크 재료를 스트립하여 제거함으로써, 그 제거된 위치를 대신하여, 그 원래 의도된 마스크 패턴의 측면 패턴 복제부가 남겨진다. 이 에칭 방법은 도 1 의 (a) 내지 (c) 에 도시되어 있다. 이 방법에서는, 도 1(a) 에 나타낸 바와 같이, 플라즈마 에칭 처리를 이용하여 하부 산화물 유전체 박막 (108) 의 면에 포토레지스트 마스크 패턴 (104) 을 직접 전사한다. 이러한 에칭은 도 1(b) 에 나타낸 바와 같이, 컨택트 홀 (112) 을 생성하고, 포토레지스트 (104) 를 부식시키고 손상을 준다. 이후, 포토레지스트가 제거된 다음, 도 1(c) 에 나타낸 바와 같이, 산화물 (108) 에 컨택트홀 (112) 이 남겨진다. 에칭 처리 동안, 통상적으로, 마스크 재료는 패턴전사와 교환되어 침식되거나 및/또는 손상을 받는다. 그 결과, 또한, 일부 손상 및 부식 부분이 하부층들에 전사되어, 찰흔, CD 확대 등과 같은 원하지 않는 패턴 변형이 남겨질 수 있다.
따라서, 에칭 방법의 목적은 포토레지스트 마스크 부식을 감소시켜 포토레지스트 마스크 패턴으로부터의 패턴전사의 정확성을 증가시키는 것을 포함한다. 이러한 목적을 위하여, 반응성 에칭 혼합물에 패시베이션 가스를 포함시키는 것이 제안되고 있다. 패시베이션 가스는 이러한 가스의 존재에 의해 에칭될 박막 재료들의 제거 레이트에 비하여 마스크 재료들의 에칭 손상 및 부식을 선택적으로 감소하도록 하는 방식으로 선택될 수 있다. 패시베이션 가스는 배리어로서 기능하는 마스크 재료의 표면 상에 에칭 리타데이션 코팅이 생성되어 에칭 반응의 속도가 저하되도록 선택될 수 있다. 설계에 의해, 패시베이션 가스는 에칭될 막구조의 수직면들 상에 에칭 리타데이션 코팅을 추가로 바람직하게 형성하도록 선택되 어, 이온 충격이 없을 경우 에칭 반응이 진행될 수 없다. 하전입자들의 수직 궤도의 특성에 의하여, 그에 따라, 에칭은 수직방향으로만 진행할 수 있고 측면방향으로는 에칭이 거의 진행하지 않아, 이방성 에칭 프로파일을 생성한다. 따라서, 에칭 혼합물의 패시베이션 가스의 존재는 비교적 높은 에너지 지향적 이온 충격을 이용하여 보다 우수한 에칭 마스크 보호 및 보다 높은 이방성 에칭 프로파일의 이점을 갖는다는 점에서 매우 중요하다.
반응성 가스 혼합물이 에칭 가스 및 폴리머 형성자를 포함한 상태에서 이 폴리머 형성자가 패시베이션 가스로서 기능하는 것은 이미 제안되어 있다. 이 경우, 에칭 가스는 전기방전의 여기에 의해 반응종을 크게 방출하는데, 이 반응종은 자발반응의 메카니즘에 의해 에칭될 박막 재료 뿐만 아니라 마스크 재료도 에칭한다. 자발 반응의 특성에 의해, 에칭 반응은 수직 뿐만 아니라 측면에도 진행하여 등방성 에칭 프로파일을 생성한다. 폴리머 형성자의 공존은, 에칭 구조체의 표면과 마스크 재료들의 표면에 폴리머 성막물의 생성을 통해, 이온 충격과 함께 마스크 재료 및 에칭 이방성에 대하여 동시에 높은 에칭 선택성을 발생시키는데 이용될 수 있다.
또한, 반응 가스 혼합물이 폴리머 형성자 가스 및 에칭 인에이블러 (enabler) 가스를 포함하는 것도 이미 제안되어 있다. 에칭 인에이블러 가스의 기능은 폴리머 형성자 가스가 전기방전의 존재하에서 폴리머 형성자 가스와 반응하여 반응성 종을 밀도높게 방출할 수 있게 하는 것이다. 다른 방법으로는, 에칭 재료 및 마스킹 재료의 표면들에, 적절하게 선택된 패시베이션 가스를 직접 케미칼반응시킴으로써, 에칭 재료들 뿐만 아니라 마스킹 재료 상에도 리타데이션 코팅을 형성할 수 있다.
통상적으로, 상술한 방법의 공통적인 단점은, 상이한 양태의 에칭 요구사항에 대한 최적의 조건들이 일치하지 않으며, 가스를 혼합시킴으로써 각각의 전구체 가스의 몇몇 고유특성들이 상호반응들에 의해 손상될 수 있다는 점이다. 통상적으로 대부분의 에칭 조건 최적화는 별도의 에칭 케미칼을 분리시킬 경우 최적화될 수 없는 단일 에칭 조건으로의 복잡한 트레이드 오프들을 수반한다.
발명의 명칭이 "이방성 실리콘 에칭 방법"이고 1996년 3월 26일자로 특허된 미국특허 5,501,893 호에는, 여러 에칭 방법이 교시되어 있다. 이 방법은 에칭 가스와 폴리머 형성자 가스를 2 개의 상이한 단계들로 분리하며, 각각의 단계는 한 종류의 케미칼을, 그 외의 케미칼 없이 순수하게 포함한다. 낮은 이온 에칭 충격 에너지에서는, 활성화 에너지가 마스크재료들의 표면에서 보다 에칭 재료의 표면에서의 반응이 조금 더 낮은 경우, 몇몇 에칭 자발반응에서 마스크 재료들에 대한 높은 선택도를 달성할 수 있기 때문에, 상기 방법에 의해, 낮은 이온 충격 에너지에서 신속한 에칭 레이트가 가능하다. 한편, 에칭 처리로부터 폴리머 형성자를 제거함으로써, 측면 에칭이 발생하는 것을 방지하는 리타데이션 층이 없기 때문에, 에칭 처리는 에칭이 진행하는 기간동안 필수적으로 등방성으로 된다. 또한, 에칭 혼합물에 패시베이션 가스가 없는 경우에는, 여기에 더 높은 이온 에너지를 이용하는 것을 원하는 경우, 충분한 에칭 선택성을 구하는 것이 어려워진다. 예를 들면, 많은 에칭 애플리케이션은 높은 이온 충격 에너지로부터 극소차원 구조체에서 높은 애스펙트비 구조체를 얻는 이점을 가질 수 있다.
추가로 제공되는 방법들은 마스크 재료들의 전체적인 내에칭성을 향상시키는 스택형 마스크 방식을 포함한다. 이것은 도 2 의 (a) 내지 (f) 에 도시되어 있다. 도 2(a) 에서는, 산화물층 (204) 이 제공된다. 도 2(b) 는 그 산화물층 위에 위치되는 하드마스크 층 (208) 을 나타낸다. 하드마스크 층 (208) 상에는, 도 2(c) 에 나타낸 바와 같이, 포토레지스트 마스크 (212) 가 위치된다. 이 포토레지스트 마스크 (212) 를 이용하여, 하드마스크 (208) 를 패터닝하여, 패터닝된 하드마스크층 (214) 을 형성한 다음, 도 2(d) 에 도시된 바와 같이, 포토레지스트 층 (212) 을 제거할 수 있다. 도 2(e) 에 도시된 바와 같이, 패터닝된 하드마스크 층 (214) 을 마스크로서 이용하여 산화물층 (204) 내에 컨택트홀 (216) 을 에칭한다. 이후, 도 2(f) 에 도시된 바와 같이, 하드마스크를 제거하고 산화물층 (204) 내에 컨택트 (216) 를 남겨둔다.
이러한 방법의 이점은 하부막들에 패턴 (회로 및 배선) 을 전사할 곳에 보다 비활성인 마스크를 가짐으로써, 에칭 성능이 보다 강화되고 에칭 및 포토리소그래피에 대한 요구사항이 보다 감소된다는 점이다. 이러한 방법의 단점은 신규 처리단계 및 신규 툴 세트들을 처리 플로우 내에 도입함으로써, 비용이 보다 높아지고 전체적인 스루풋이 낮아진다는 점이다. 또한, 추가 처리 복잡성 자체도 어려움을 도입한다. 예를 들어, 유연체 컨택트 에칭 애플리케이션에 이용되는 Si 하드마스크는 포토레지스트 마스크로서 쉽게 스트립되지 않는다.
측면 CD 손실 또는 손상 없이 에칭층에 마스크 패턴을 전사하는 것에 더하여, 이미 에칭 층에 제공된 측면 패턴의 측면 CD 는 에칭 층을 에칭하는 처리동안 많은 에칭 애플리케이션시 보전될 필요가 있을 수 있다. 통상적으로, 이들 에칭 층 패턴은 에칭 마스크 재료들에 의해 보호되지 않는다. 에칭 애플리케이션의 이러한 분류의 설명은 플라즈마 에칭에 의한 듀얼 다마신 (Damascene) 구조체의 형성의 예를 이용하여 주어진다.
보다 쉬운 설명을 위하여, 도 9a 는 종래기술의 듀얼 다마신 처리에 이용되는 웨이퍼 (110) 상의 스택 (900) 단면도를 나타낸다. 컨택트 (904) 는 웨이퍼 (910) 를 통하여 유전체층 (908) 에 위치될 수 있다. 실리콘 질화물 또는 실리콘 탄화물로 이루어질 수 있는 배리어 층 (912) 은 구리 확산을 방지하기 위하여 컨택트 (904) 상에 위치될 수 있다. 비아 레벨 실리콘 산화물 유전체층 (916) 은 배리어 층 (912) 상에 위치될 수 있다. 트렌치 정지 층 (920; 실리콘 탄화물 또는 실리콘 질화물) 은 비아 레벨 유전체 (916) 위에 위치될 수 있다. 트렌치 레벨 실리콘 산화물 유전체층 (924) 은 트렌치 정지 층 (920) 상에 위치될 수 있다. 반사방지 층 (ARL; 928) 은 트렌치 유전체층 (924) 상에 위치될 수 있다. 패터닝된 레지스트 층 (932) 은 ARL (928) 상에 위치될 수 있다. ARL (928) 은 실리콘 질화물, SiON, 또는 고굴절율 및 고흡광계수를 가진 그 외의 다른 재료로부터 형성될 수 있다.
도 10 은 듀얼 다마신 구조체 내에 스택 (900) 을 형성하는 종래기술에 이용되는 처리의 하이레벨 플로우 차트를 나타낸다. 스택 (900) 은 에칭을 수행받을 수 있으며, 이는 배리어 층 (912) 아래로 비아 (940) 를 에칭한다 (단계 1004). 비아 (940) 의 에칭은 측벽을 형성하는 크러스트 (944; crust) 를 형성할 수 있다. 크러스트 (944) 와 레지스트 (932) 는 제거될 수 있으며, 후속하여, 신규 레지스트 층 (960) 으로 재패터닝되는데, 도 9c 에 도시된 바와 같이, 이 신규 레지스트 층은 패터닝되어 트렌치가 형성된다 (단계 1008). 스택은 에칭을 수행받을 수 있으며, 도 9d 에 도시된 바와 같이, 이는 중간 트렌치 에칭 정지 층 (920) 아래까지 트렌치 (964) 를 에칭한다 (단계 1012). 트렌치 (964) 의 에칭은 비아 레벨 유전체층 (916) 의 부분에 면형성부 (972; facet) 를 형성한다. 이러한 면형성은 듀얼 다마신 구조체에 대한 손상부로서 고려될 수 있다. 중간 트렌치 에칭 정지 층 (920) 은 이러한 면형성을 감소시키는데 이용될 수 있다. 또한, 트렌치 (964) 의 에칭은 신규 크러스트 (968) 를 형성할 수 있는데, 이 신규 크러스트는 측벽을 형성한다. 이후, 레지스트 층 (160) 과 크러스트는 스트립될 수 있다 (단계 1016). 스택 (900) 은 배리어 층 에칭을 수행받을 수 있으며 (단계 1020), 이 에칭은 구리 컨택트 (904) 까지 비아 (940) 를 개구하여, 도 9e 에 나타낸 구조체를 제공한다. 도 9f 에 나타낸 바와 같이, 금속 배리어 층 (974) 은 구리 컨택트 상에 성막될 수 있다 (단계 1024). 이후, 구리 시드 층 (976) 을 이용하여 비아와 트렌치의 내부를 코팅할 수 있다. 구리 (978) 를 가지고 트렌치와 비아를 충전시키는데는 전해도금을 이용할 수 있는데 이 구리는 트렌치 유전체층 (924) 아래까지 폴리싱된다. 구리 (978) 는 다음 레벨에 대한 구리 접속부로서 이용될 수 있으며, 이러한 처리들을 반복하여 구리 접속부들과 유전체층의 다중레벨을 형성한다.
중간 트렌치 에칭 정지 층을 이용하여 면형성을 감소시킬 수 있었지만, 중간 트렌치 에칭 정지 층을 제공하여 에칭하는 것은 추가 처리 단계들을 요구하여 시간과 비용을 증가시킨다.
또한, 집적회로는 통상적으로 실리콘 이산화물 (Si02) 로부터 형성되는 유전체층을 이용하여 반도체 구조체의 여러 층들 상에 도전성 배선들을 절연시킨다. 반도체 회로는 보다 고속이고 소형으로 될수록, 동작주파수가 증가하고 반도체 장치 내의 도전성 배선들 사이의 거리가 감소된다. 이러한 점은 회로에 증가된 레벨의 결합 용량을 도입시키는데, 이는 반도체 디바이스의 동작을 느리게 하는 결함을 갖는다. 따라서, 이러한 증가하는 결합 용량 레벨들에 대항하여 도전성 배선들을 효과적으로 절연시킬 수 있는 유전체층을 이용하는 것이 중요하게 된다.
통상적으로, 집적회로 내에서의 결합용량은 유전체층을 형성하는데 이용되는 재료의 유전상수 (k) 에 직접 비례한다. 상술한 바와 같이, 통상적으로, 종래의 집적회로들의 유전체층들은 Si02 로부터 형성되어졌으며, 이는 약 4.0인 유전상수를 가진다. 반도체 장치에서의 배선밀도와 동작 주파수의 증가의 결과로서, Si02 로부터 형성되는 유전체층들은 증가된 결합 용량 레벨들을 방지하기 위해 요구되는 정도까지 도전성 배선들을 효과적으로 절연시킬 수 없다.
집적회로에서의 결합용량 레벨들을 감소시키기 위하여, 반도체 산업은 집적 회로 내에 유전체층들을 형성하는데 이용하기 적합하고 Si02 의 유전상수보다 낮은 유전상수를 가지는 재료들을 개발하는 연구에 관심이 집중되어 있다. 때때로 "로우-k 재료 (low-k materials)" 라 하는 많은 유망한 재료들이 개발되고 있다. 본 명세서 및 청구범위에서는, 로우-k 재료를 4 미만의 유전상수 (k) 를 가지는 재료로서 정의한다. 약 3.7 의 유전상수를 가지는 저유전율 유전체의 일 예로는 플루오로실리케이트 유리가 있다. 이는 Si02 내에 도핑된 약 7% 내지 9% 의 플루오르화물로 구성된다.
또 다른 로우-k 재료의 관심 분야는 유기실리케이트 유리, 또는 OSG 를 포함하는 화합물들이다. 예를 들어, 이러한 유기실리케이트 유전체는 이에 한정되는 것은 아니지만, 미국 캘리포니아 산호세에 있는 Novellus 사의 CORALTM, 캘리포니아 산타클라라의 어플라이드 머티리얼즈 사의 Black DiamondTM, 네덜란드의 ASM International N. V. 사의 AuroraTM, 캘리포니아 산타클라라의 스미토모 케미칼 아메리카 사의 Sumika Film®, 및 뉴저지 모리스타운의 Allied Signal 사의 HOSPTM 을 포함한다. 유기실리케이트 유리 재료는 실리콘 이산화물 격자 내에 카본원자와 산소원자가 포함되어 있어 밀도가 낮아지므로, 재료의 유전상수도 낮아진다. 이러한 막의 유전상수는 통상적으로 3.0 미만이다.
보다 쉬운 설명을 위하여, 도 11a 는 트렌치 정지 층 없이 저유전율 유전체를 이용하는 다마신 구조체의 제조시 웨이퍼의 부분단면도를 나타낸다. 컨택트 (1104) 는 웨이퍼 (1110) 상의 저유전율 유전체층 (1108) 내에 위치될 수 있다. 제 2 컨택트 (1106) 도 또한 저유전율 유전체층 (1108) 내에 위치될 수 있다. 유전체 배리어 층 (1112) 은 통상적으로, 이에 한정되는 것은 아니지만, 실리콘 질화물, 또는 실리콘 탄화물을 포함하며, 컨택트 (1104) 상에 위치되어 구리 확산을 방지할 수 있다. 저유전율 유전체층 (1120) 은 배리어 층 (1112) 상에 위치될 수 있다. 반사방지층 (ARL; 1128) 은 저유전율 유전체층 (1120) 상에 위치될 수 있다. 패터닝된 레지스트 층 (1132) 은 ARL (1128) 상에 위치될 수 있다. 패터닝된 레지스트 층 (1132) 은 패터닝되어 비아 (1140) 를 제공하는데, 이 비아는 저유전율 유전체층 (1120) 내에 에칭된다. 도 11b 에 나타낸 바와 같이, 레지스트 층 (1132) 은 제거된 다음 제 2 패터닝된 레지스트 층 (1160) 이 ARL (1128) 상에 위치된다. 제 2 레지스트 층 (1160) 은 패터닝되어 트렌치 (1164) 를 제공하는데, 이 트렌치는 저유전율 유전체층 (1120) 내에 에칭된다.
중간 트렌치 에칭 정지 층의 부재와 저유전율 유전체의 이용에 의해, 이 예에서는, 면형성부 (1172) 가 증가될 수 있다. 이러한 면형성에 의해, 비아와 트렌치 내에 충전되는데 이용되는 구리가 제 2 컨택트 (1106) 와 너무 근접할 수 있다. 또한, 이는 비아의 바닥 크기를 증가시킬 수 있다.
보다 쉬운 이해를 위하여, 도 12a 는 트렌치 정지 층 없이 저유전율 유전체를 이용한 다마신 구조체의 제조시 웨이퍼의 부분 단면도를 나타낸다. 제 1 컨택트 (1204) 와 제 2 컨택트 (1206) 는 웨이퍼 (1210) 상의 저유전율 유전체층 (1208) 내에 위치될 수 있다. 유전체 배리어 층 (1212) 은 이에 한정되는 것은 아니지만 통상적으로 실리콘 질화물 또는 실리콘 탄화물로 이루어지며, 제 1 컨택트 (1204) 와 제 2 컨택트 (1206) 상에 위치되어 구리 확산을 방지할 수 있다. 저유전율 유전체층 (1220) 은 배리어 층 (1212) 상에 위치될 수 있다. 제 1 비아 (1240) 와 제 2 비아 (1244) 는 저유전율 유전체층 (1220) 내에 에칭될 수 있다. 하부 반사방지코팅 (BARC) 층 (1228) 은 저유전율 유전체층 (1220) 상에서 스피닝 (spin) 될 수 있다. 이러한 BARC 상의 스피닝은 적어도 부분적으로 비아 (1240, 1244) 들을 충전시키고 비아의 측벽과 플러그를 형성하기 쉽다. 통상적으로, 보다 가는 비아는 보다 넓은 비아들이 충전되는 깊이보다 더 높은 깊이로 BARC 로 충전된다. 또한, 보다 멀리 이격되어 확산되어 있는 비아들은 보다 근접하게 밀집되어 있는 비아들보다 더 높게 충전될 수 있다. 그 결과, 균일한 높이까지 충전된 바이들을 갖기가 어려워질 수 있다.
도 12b 는 트렌치 (1248, 1252) 가 에칭되어진 이후의 웨이퍼의 부분단면도를 나타낸다. 비아 내의 BARC 제공은 펜스부 (fence; 1256, 1260) 를 형성하며, 또한, 면형성부 (1262, 1264) 를 형성한다. 면형성정도 및 면형성크기는 BARC 의 높이에 의존한다. 따라서, 불균일한 BARC높이에 의해 불균일한 펜스부와 면형성부를 형성할 수 있다. 펜스부는 전자이동 (electro-migration), 보이드 및 그 외의 결함들을 형성할 수 있는 스트레스 위치일 수 있으며, 결과적인 반도체 장치의 신뢰성을 감소시킬 수 있다.
또한, 플러그 충전과 스트립이 처리 플로우에 추가 비용과 복잡도를 증가시킨다. 또한, 이러한 플러그들은 추후의 유전체 재료들에 유전체적 악영향을 줄 수 있다. 플러그 충전이 없는 경우에는, 면형성과 같은 메카니즘에 의한 부식에 의해 비아 홀들의 CD 의 억제 및 증가를 어렵게 할 수 있다. 본 발명의 목적은 층 또는 층들의 스택에서의 피처 (feature) 를 에칭하기 위한 일반적인 방법 을 제공하여, 마스크 재료 뿐만 아니라 정지층들에 대해서도 높은 에칭 이방성과 높은 선택도를 동시에 가지고 마스크 재료에 의해 형성되는 측면 패턴의 높은 정확도의 복제를 실현하는 것이다. 또한, 본 발명은 에칭 층 측면 패턴들에 대한 하부 측면 CD 의 손실이나 손상 없이 희생 충전재에 의해 보호되는 불충분하게 보호되거나 전혀 보호되지 않고 에칭 마스크에 의해 커버되지 않는 에칭층에 이미 제공된 측면 패턴을 에칭하기 위한 일반적인 방법을 제공하기 위한 것이다.
발명의 개요
상술한 본 발명의 목적을 달성하기 위하여, 에칭 마스크를 통하여 층의 피처를 에칭하기 위한 방법이 제공된다. 패시베이션 가스 혼합물을 이용하여 에칭 마스크의 노출면들과 피처의 수직 측벽들 상에 보호층을 형성한다. 하나 이상의 에칭 케미칼과 하나 이상의 패시베이션 케미칼을 포함하는 반응성 에칭 혼합물을 이용하여 에칭 마스크를 통하여 피처를 에칭한다.
본 발명의 또 다른 실시형태에서, 에칭 마스크 아래에 있는 층을 에칭하기 위한 장치가 제공되며, 여기서, 층은 기판에 의해 지지된다. 플라즈마 처리 챔버 엔클로저를 형성하는 챔버벽을 포함하는 플라즈마 처리 챔버, 플라즈마 처리 챔버 엔클로저 내에서 기판을 지지하기 위한 기판 지지부, 플라즈마 처리 챔버 엔클로저 내에서의 압력을 조정하기 위한 압력 레귤레이터, 플라즈마를 지속시키기 위하여 플라즈마 처리 챔버 엔클로저에 전력을 급전하는 하나 이상의 전극, 플라즈마 처리 챔버 엔클로저 내에 가스를 제공하는 가스 도입구, 및 플라즈마 처리 챔버 엔클로저로부터 가스를 배기하는 가스 배기구가 제공된다. 성막 가스 소스와 에천트 가스 소스가 제공된다. 플라즈마 처리 챔버의 가스 도입구와 성막 가스 소스 사이의 유체 연결부의 제 1 제어 밸브, 및 플라즈마 처리 챔버의 가스 도입구와 에천트 가스 소스 사이의 유체 연결부의 제 2 밸브가 제공된다. 제 1 제어 밸브, 제 2 제어 밸브 및 하나 이상의 전극에 제어가능하게 접속되고 하나 이상의 프로세서 및 컴퓨터 판독가능 매체를 포함하는 제어기가 제공된다. 컴퓨터 판독가능 매체는 하나 이상의 성막 단계 동안 제 1 제어 밸브를 개방하여, 성막 가스 소스로부터 플라즈마 처리 챔버 엔클로저로 성막 가스를 제공하기 위한 컴퓨터 판독가능 코드, 하나 이상의 성막 단계 동안 제 2 제어 밸브를 폐쇄하여 에천트 가스 소스로부터 에칭 가스가 플라즈마 처리 챔버 엔클로저에 진입하는 것을 방지하기 위한 컴퓨터 판독가능 코드, 하나 이상의 에칭 단계 동안 제 2 제어 밸브를 개방하여 에천트 가스 소스로부터 플라즈마 처리 챔버로 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드, 및 하나 이상의 에칭 단계 동안 하나 이상의 전극에 에너지를 공급하여 기판 상에 250볼트보다 큰 바이어스를 제공하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 또 다른 실시형태에서, 듀얼 다마신 피처를 형성하기 위한 방법이 제공된다. 비아들이 에칭층에 형성된다. 트렌치 패터닝된 마스크가 에칭층 상에 제공된다. 트렌치가 에칭되며, 여기서 트렌치 에칭은 비아들의 측벽 상에 보호측벽을 형성하고 트렌치 패터닝된 마스크를 통하여 트렌치를 에칭하는 사이클을 포함한다. 이후, 마스크는 스트립된다.
본 발명의 또 다른 양태는, 에칭 마스크 아래에 있는 층을 에칭하기 위한 장치가 제공되며, 여기서 층은 기판에 의해 지지된다. 플라즈마 처리 챔버가 제공된다. 플라즈마 처리 챔버는 플라즈마 처리 챔버 엔클로저를 형성하는 챔버 벽, 플라즈마 처리 챔버 엔클로저 내에서 기판을 지지하기 위한 기판 지지부, 플라즈마 처리 챔버 엔클로저에서의 압력을 조정하기 위한 압력 레귤레이터, 플라즈마를 지속시키기 위하여 플라즈마 처리 챔버 엔클로저에 전력을 급전하는 하나 이상의 전극, 플라즈마 처리 챔버 엔클로저 내에 가스를 제공하기 위한 가스 도입구, 및 플라즈마 처리 챔버 엔클로저로부터 가스를 배기하기 위한 배기구를 포함한다. 성막 가스 소스와 에천트 가스 소스가 제공된다. 제 1 제어 밸브는 플라즈마 처리 챔버의 가스 도입구와 성막 가스 소스 사이의 유체 연결부에 있다. 제 2 제어 밸브는 플라즈마 처리 챔버와 에천트 가스 소스 사이의 유체 연결부에 있다. 제어기는 제 1 제어밸브, 제 2 제어밸브 및 하나 이상의 전극에 제어가능하게 접속된다. 제어기는 하나 이상의 프로세서와 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는 하나 이상의 성막 단계 동안 제 1 제어 밸브를 개방하여, 성막 가스 소스로부터 플라즈마 처리 챔버 엔클로저로 성막 가스를 제공하기 위한 컴퓨터 판독가능 코드, 하나 이상의 성막 단계 동안 제 2 제어 밸브를 폐쇄하여 에천트 가스 소스로부터 에칭 가스가 플라즈마 처리 챔버 엔클로저에 진입하는 것을 방지하기 위한 컴퓨터 판독가능 코드, 및 하나 이상의 에칭 단계 동안 제 2 제어 밸브를 개방하여 에천트 가스 소스로부터 플라즈마 처리 챔버로 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이들 특징 및 그 외의 다른 특징을, 다음 도면과 함께 본 발명의 상세한 설명부로부터 보다 자세히 설명한다.
도면의 간단한 설명
본 발명은 첨부한 도면들을 통하여, 범위한정에 의한 것이 아닌 예를 들어 설명하며 도면중, 유사한 부재번호는 유사한 구성요소를 의미한다.
도 1 의 (a) 내지 (c) 는 종래의 처리를 통한 컨택트홀 피처의 형성을 나타내는 개략도이다.
도 2 의 (a) 내지 (f) 는 또 다른 종래의 처리를 통한 컨택트홀 피처의 형성을 나타내는 개략도이다.
도 3 은 본 발명의 패시베이션 및 에칭 처리의 플로우차트를 나타낸다.
도 4a 내지 도 4f 는 본 발명의 처리를 이용한 컨택트 홀의 형성을 나타내는 개략도이다.
도 5 는 본 발명을 실시하는데 이용될 수 있는 시스템의 개략도를 나타낸다.
도 6 은 본 발명을 이용하여 형성되는 높은 애스팩트 비를 가진 복수의 컨택트 홀 패턴들의 현미경 사진을 나타낸다.
도 7 은 종래의 처리를 이용하여 형성되는 높은 애스팩트 비를 가진 복수의 컨택트 홀 패턴을 현미경 사진을 나타낸다.
도 8a 및 도 8b 는 본 발명을 실시하는데 이용될 수 있는 컴퓨터 시스템의 개략도를 나타낸다.
도 9a 내지 도 9f 는 듀얼 다마신 피처가 종래의 처리를 이용하여 상부에 형 성되어 있는 스택의 단면도를 나타낸다.
도 10 은 듀얼 다마신 구조체를 형성하기 위해 종래기술에서 이용되는 처리의 하이 레벨 플로우 차트를 나타낸다.
도 11a 및 도 11b 는 종래의 처리에 따라서, 트렌치 정지 층 없이 저유전율 유전체를 이용한 다마신 구조체의 제조시의 웨이퍼 부분 단면도를 나타낸다.
도 12a 및 도 12b 는 또 다른 종래의 처리에 따라서, 트렌치 정지 층 없이 저유전율 유전체를 이용한 다마신 구조체의 제조시의 웨이퍼 부분 단면도를 나타낸다.
도 13 은 제 1 비아 방식을 이용하여 듀얼 다마신 피처를 형성하기 위한 예를 나타내는 하이 레벨 플로우 차트이다.
도 14a 내지 도 14e 는 듀얼 다마신 구조체가 상부에 형성되어 있는 기판의 부분 단면도를 나타낸다.
도 15a 는 본 발명에 따라서 에칭되어진 듀얼 다마신 피처의 단면도를 나타내는 광학현미경사진이다.
도 15b 는 듀얼 다마신 피처의 평면 사시도 및 측면 사시도를 나타낸다.
바람직한 실시형태의 상세한 설명
이하, 첨부한 도면에 나타낸 바와 같이, 본 발명의 바람직한 실시형태를 통하여 본 발명을 자세히 설명한다. 이하 설명에서는, 본 발명의 보다 완벽한 이해를 제공하기 위하여 다수의 구체적인 세부사항을 설명한다. 그러나, 본 발명은 일부 또는 전체의 이들 구체적인 세부사항 없이도 수행될 수 있다는 것은 당업자에게 있어 자명하다. 그 외의 경우, 본 발명이 불필요하게 모호해지는 것을 피하기 위하여, 알려진 처리 단계 및/또는 구조체들에 대한 설명은 자세히 설명하지 않는다.
상기 본 발명은 인시츄 패시베이션 처리를 에칭 처리에 결합하고 통합시킴으로써 용이성 및 비용효율을 지나치게 희생하지 않으면서도 전체적인 에칭 성능을 강화시키는 신규 에칭 방법에 대한 것이다.
이 신규 방법에서는, 인 시츄 플라즈마 케미칼 처리를 이용하여 에칭 진행 동안, 포토레지스트 마스크 뿐만 아니라 에칭 피처의 수직 측벽을 강화 및/또는 리페어 (repair) 한다. 이러한 신규 에칭 시퀀스 동안, 웨이퍼가 원하는 기간동안 에칭 플라즈마에 노출되기 이전 및/또는 이후에 플라즈마 케미칼 처리 단계를 단기간 동안 개시한다. 플라즈마 패시베이션 처리는, 재료코팅 박막이 마스크 패턴 상에 형성되어 추후 에칭 부식으로부터 마스크를 보호하는 방식으로 선택된다. 바람직하게는, 이러한 박막 코팅은 마스크 재료보다 더 높은 내에칭성을 가지며 최종 제거의 용이함을 위하여 추후 스트립 처리와 호환가능한 재료로 이루어진다. 예를들어, 다른 원소들을 매우 적게 함유하거나 전혀 함유하지 않는 카본이 풍부한 박막을 이용하여 포토레지스트 마스크를 코팅함으로써, 보호 마스크 피처가 후속하는 에칭 처리에 의해 쉽게 부식되지 않게 할 수 있다. 즉, 마스크는 유사 하드마스크와 같은 양태를 띠도록 마스크 패턴의 표면 조성을 변경시킴으로써, 비정질 카본 하드마스크의 특징을 가진 어떤 바람직한 에칭을 수행한다. 또한, 다른 방법으로, 패시베이션 처리를 이용하여, 마스크 패턴 상의 박막 코팅 형성이 이전 에칭 처리에 의해 손상받고 부식된 마스크 패턴을 크게 보상하거나 및/또는 리페어할 수 있다. 후속 에칭 반응에 대한 코팅의 상대적인 비활성은 에칭 단계에서 얻어지는 미세한 밸런스를 변경시키지 않는 점에서 유용하다.
에칭 가스 혼합물은 에칭 케미칼에서의 패시베이션 가스와 관련되는 이점을 손실하지 않도록 하나 이상의 패시베이션 종 및 에천트 종을 포함한다. 복수의 다른 처리 조건들에 함께 패시베이션 구성요소들에 대한 에칭비율은 포토레지스트 선택도, 에칭 이방성 및 에칭 레이트 등과 같은 최적의 처리 결과들을 달성하도록 미세하게 밸런싱된다. 전기방전전력은 높게 유지되며 하전입자들의 에너지 또한 높게 유지되어, 소형 크기의 구조체에서도 높은 에칭 레이트와 우수한 에칭 이방성을 얻을 수 있다. 패시베이션 및 에칭 시퀀스는 에칭 작업이 완료할 때까지 반복될 수 있지만 반드시 요구되는 것은 아니다.
보다 쉬운 이해를 위하여, 도 3 은 본 발명의 일 실시형태의 플로우차트를 나타낸다. 포토레지스트 마스크는 에칭될 층 상에 제공된다 (단계 304). 도 4a 내지 도 4f 는 처리의 개략도를 나타낸다. 도 4a 는 기판상에 위치된, 에칭될 산화물층 (408) 상에 제공되는 포토레지스트 마스크 (404) 를 나타낸다. 기판은 처리 챔버에 위치된다 (단계 306).
도 5 는 본 발명의 바람직한 실시형태에 이용될 수 있는 처리 챔버 (500) 의 개략도를 나타낸다. 이 실시형태에서, 플라즈마 처리 챔버 (500) 는 한정 링 (confinement rings; 502), 상부전극 (504), 하부전극 (508), 가스 소스 (510) 및 배기 펌프 (520) 를 포함한다. 가스 소스 (510) 는 패시베이션 가스 소스 (512), 에천트 가스 소스 (514) 및 추가 가스 소스 (516) 를 포함한다. 플라즈마 처리 챔버 (500) 내에서는, 산화물층이 상부에 성막되어 있는 기판 웨이퍼 (580) 가 하부전극 (508) 상에 위치결정된다. 하부전극 (508) 은 기판 웨이퍼 (580) 를 유지시키기 위한 적절한 기판 척 메카니즘 (예를 들면, 정전척, 기계적 클램핑 등) 을 포함한다. 반응기 상단부 (528) 는 하부 전극 (508) 에 바로 대향하여 배치된 상부전극 (504) 을 통합한다. 상부전극 (504), 하부전극 (508) 및 한정 링 (502) 은 한정된 플라즈마 볼륨 (540) 을 정의한다. 가스 소스 (510) 에 의해 가스 도입구 (543) 를 통하여 이 한정된 플라즈마 볼륨에 가스를 공급하고, 배기펌프 (520) 에 의해 한정된 플라즈마 볼륨으로부터 한정 링 (502) 과 배기구를 통하여 가스를 배기한다. 배기펌프 (520) 는 플라즈마 처리 챔버를 위한 가스 배출구를 형성한다. 제 1 RF 소스 (544) 는 상부전극 (504) 에 전기적으로 접속되어 있다. 제 2 RF 소스 (548) 는 하부 전극 (508) 에 전기적으로 접속되어 있다. 챔버 벽 (552) 은 내부에 한정 링 (502), 상부전극 (504) 및 하부전극 (508) 이 배치되어 있는 플라즈마 엔클로저를 정의한다. 제 1 RF 소스 (544) 와 제 2 RF 소스 (548) 는 27 MHz 전원 및 2 MHz 전원을 포함할 수 있다. RF 전력을 전극에 접속하는 상이한 조합도 가능할 수 있다. 본 발명의 바람직한 실시형태에서는, 캘리포니아 프레몬트의 LAM 리서치 코포레이션에서 제조된 변형 Exelan 2300 DFC (듀얼 주파수 한정) 를 이용할 수 있다. 제어기 (535) 는 제 1 RF 소스 (544), 제 2 RF 소스 (548), 배기 펌프 (520), 성막 가스 소스 (512) 에 연결된 제 1 제어 밸브 (537), 에천트 가스 소스 (514) 에 연결된 제 2 제어 밸브 (539), 및 추가 가스 소스 (516) 에 연결된 제 3 제어 밸브 (541) 에 제어가능하게 접속되어 있다. 가스 도입구 (543) 는 가스 소스 (512, 514, 516) 로부터의 가스를 플라즈마 처리 엔클로저에 제공한다. 가스 도입구 (543) 에 샤워 헤드가 연결될 수도 있다. 가스 도입구 (543) 는 각각의 가스 소스 마다 하나의 도입구, 또는 각각의 가스 소스마다의 별도의 도입구, 또는 각각의 가스 소스 마다의 복수의 도입구, 또는 그 외의 가능한 조합으로 될 수 있다.
도 4b 에 나타낸 바와 같이, 보호층 (412) 이 포토레지스트 마스크 (404) 상에 형성된다 (단계308). 성막은, 성막량이 마스크 재료 상에 바람직하게 더 많이 형성되도록 비대칭적인 것이 바람직하다. 선택된 CVD 처리의 선택적 특성 뿐만 아니라 성막 소스에 대한 위치의 조준선 (line-of-sight) 이 처리를 보조하는 것이 바람직하다. 즉, 성막 케미칼은, 재료들의 케미칼적 비활성에서의 차이에 의해 코팅이 마스크 재료들 상에 바람직하게 형성되도록 하는 방식으로 선택되는 것이 바람직하다. 도 4b 를 통하여 알 수 있는 바와 같이, 보호층 (412) 은, 포토레지스트 마스크의 하부측 산화물면 및 포토레지스트 마스크의 측벽상에서보다 포토레지스트 마스크 (404) 상에서 더욱 두껍게 형성된다. 바람직한 실시형태에서, 이러한 성막은 화학적 기상증착 (CVD) 처리를 이용하여 에칭 챔버에서 인시츄 방식으로 수행되며 이 화학적 기상증착 처리는 포토레지스트의 측벽 상에 얇은 보호층도 성막한다. 바람직하게는, 성막은 이러한 성막의 선택성을 가능하게 하는 일부 이온 에너지를 이용한다.
다른 실시형태에서, 처리 조건들은 보호층의 두께와 공간적 분포를 변경하도록 변경될 수 있다. 예를 들어, 후속 에칭에 의한 추가 변형으로부터 에칭 구조물을 보호하기 위하여, 에칭이 보다 깊게 진행될 때 에칭 구조물의 측벽 상에 보다 두꺼운 코팅을 형성하는 것이 바람직할 수 있다. 처리 조건의 변경은 이러한 목적을 위하여 제공될 수 있다. 패시베이션 및 에칭이 분리된 공정이기 때문에, 패시베이션에 대한 처리 조건들이 이러한 결과를 위하여 에칭 처리와 간섭하지 않고 최적화될 수 있다.
성막 동안는, 성막가스의 플루오르 대 카본 비가 2 : 1 이하이다. CVD 에 이용될 수 있는 성막 케미칼의 예는 이에 한정되는 것은 아니지만 CH3F, CH2F2, C2H5F, C3H7F, C2H3F, CH4, C2H4, C2H6, C2H2, C3H8, 및 SiH4, Si(CH3)4, Si(C2H5)4 가 있을 수 있다. 이들 케미칼은 할로겐이 없거나 할로겐 대 카본의 비가 2: 1 이하인 것이 바람직하다. 이론에 의한 제한없이, 카본계 케미칼은 내에칭성 비정질 카본 박막층을 형성한다. 실란 (SiH4) 을 이용하여 포토레지스트층 상에 비정질 실리콘 층 (또는 다중비정질 실리콘) 을 형성한다. 또한, 일부 F 및 H 성분들의 존재에 의해 보호층이 변형될 수도 있다. F와 같은 그 외의 원소들의 존재를 이용하여 상이한 재료 표면들에 대한 선택적 활성을 가져와, 적절한 이온충격하에서, 포토레지스트 마스크 재료 상에는 성막이 발생하지만 Si02 층에는 성막이 발생하지 않는 것과 같이, 성막이 한 재료에만 바람직하게 발생하고 그 외의 다른 재료에는 발생하지 않게 한다. 박형성 및 내에칭성은 포토레지스트 에칭 또는 손상을 견디어내기에 충분한 보호성, 및 원하는 피처 형상의 에칭을 충분히 가능하도록 하는 박형성을 제공한다. 스퍼터링과 같은 그 외의 방법을 이용하여 에칭 이전에 포토레지스트 마스크 상에 보호층을 성막할 수 있다. 패시베이션 단계는 상이한 재료의 상이한 에칭 애플리케이션들에 대한 성막 가스들의 상이한 조합들을 포함할 수 있는 에칭 처리에서의 독립 단계이며, 여기서 성막은 가능한 다중단계 가스 스위칭 스퀀스를 이용하여 마스크 피처를 포함한 에칭 피처 주변에 보호코팅을 제공한다. 이러한 단계를 수행하기 위하여, 제어기 (535) 는 제 1 밸브 (537) 로 하여금 성막가스를 성막가스 소스 (512) 로부터 처리 챔버 (500) 로 제공하게 하는 한편, 제 2 밸브 (539) 로 하여금, 에천트 가스 소스 (514) 로부터의 에칭 가스가 처리 챔버에 진입하지 못하게 하도록 할 수 있다. 또한 제어기 (535) 는 제 1 및 제 2 RF 소스 (544, 548) 에 의해 제공되는 전력 및 배기펌프 (520) 를 제어한다. 또한, 제어기는 웨이퍼 압력, 백사이드 (backside) He 냉각 압력, 기판에 대한 바이어스, 및 여러 온도를 제어하는데 이용될 수도 있다.
표 I 는 본 발명의 바람직한 실시형태에서의 패시베이션 및 에칭 단계에 이용될 수 있는 몇몇 파라미터들을 나타내는 표이다.
표 I
바람직한 범위 보다 바람직한 범위 가장 바람직한 범위
바이어스 전압 > 50볼트 > 100볼트 >300볼트
바이어스 에너지 > 50eV > 100eV >300eV
바이어스 전압은 기판 위에 있는 상부 전극과 기판 아래에 있는 하부전압 사이에 정전압을 인가하여 제공될 수 있다. 바람직한 실시형태에서, 전기적 음성은 RF 전력 생성기에 의해 공급되는 고주파 (RF) 전압을 인가하여 웨이퍼 재료들을 지지하는 기판 상에 형성될 수 있다 (이에 따라 웨이퍼에 바이어스를 인가함). 이것은 RF 전압의 진폭에 의해 제어되는 전기적 음성에 의해 결정되는 에너지에서 전기적으로 바이어스되는 기판을 향하여 양의 하전입자들을 끌어당기는 효과를 가진다. 따라서, 기판 홀더에 인가되는 RF 전력 (및 이에 따른 RF 전압) 을 제어하여 이온 충격 에너지를 공급하고 변경하는 것이 가능하게 된다.
다음, 산화물층 (408) 을, 포토레지스트 마스크 (404) 를 이용하여 에칭하여, 도 4c 에 도시된 바와 같이 피처 (416) 를 형성한다. 에칭 애플리케이션은 이에 한정되는 것은 아니지만, 유전체 컨택트 에칭 (높은 애스펙트 비 컨택트 (HARC) 또는 다마신), 컨덕터 트렌치 에칭 (쉘로우 또는 딥), 자기정렬 컨택트 에칭, 게이트 마스크 개구 에칭, 컨택트 에칭, 비아 유전체 에칭, 듀얼 다마신 비아 에칭, 듀얼 다마신 트렌치 에칭, 컨덕터 게이트 에칭, 컨덕터 딥 트렌치 에칭, 컨덕터 쉘로우 트렌치분리 에칭, 및 하드마스크 개구를 포함할 수 있다. 바람직하게는, 에칭은 고이온 에너지를 이용하여 유전체 에칭을 제공한다. 에칭은 도시된 바와 같이, 몇몇 보호층 (412) 을 제거할 수 있다. 표면 상의 일부분에 있는 모든 보호층은 제거될 수 있다. 이 예에서는, 포토레지스트 (404) 상의 측벽을 형성하는 보호층이 제거된다. 그 외의 보호층부분은 부분적으로만 제거될 수도 있다. 이 예에서, 포토레지스트 (404) 의 상부면 상에 있는 보호층 (412) 부분만이 제거된다. 또 다른 실시형태에서, 그 외의 보호층 부분은 부분적으로 제거되는 방식으로 또는 전면 제거되는 방식으로 에칭될 수 있다. 이러한 단계를 수행하기 위하여, 제어기 (535) 는 제 1 밸브 (537) 로 하여금 성막 가스 소스 (512) 로부터 처리 챔버 (500) 로의 성막가스의 플로우를 정지시키도록 하면서 제 2 밸브 (539) 로 하여금 에천트 가스 소스 (514) 로부터의 에천트 가스가 처리 챔버로 플로우하도록 할 수 있다. 제어기 (535) 는 제 1 및 제 2 RF 소스 (544, 548) 에 의해 제공되는 전력을 변경시킬 수 있으며 배기 펌프 (520) 의 설정을 변경하여 에칭을 수행할 수 있다. 또한, 제어기를 이용하여, 에칭 처리를 수행하기 위한 웨이퍼 압력, 백사이드 압력 및 여러 온도를 변경할 수도 있다. 이러한 에칭 단계는 고 에너지 이온을 이용하여 지향성 에칭을 제공하기 때문에, 폴리머 형성자 가스가 에칭 동안 제공된다. 이 폴리머 형성자 가스는 예를 들면, C4F6, C4F8, CH3F, CH2F2, CH4, C3F6, C3F8, CHF3 와 같은 하이드로카본, 플루오로카본, 및 하이드로플루오로카본일 수 있다. 이들 폴리머 형성자 가스는 에칭 동안 계속해서 퇴적되거나 에칭제거되는 폴리머층을 형성한다.
표 II 는 본 발명의 바람직한 실시형태에서의 에칭 처리에 이용될 수 있는 몇몇 파라미터들의 테이블이다.
표 II
바람직한 범위 보다 바람직한 범위 가장 바람직한 범위
바이어스 전압 > 200볼트 > 300볼트 >400볼트
바이어스 에너지 > 200eV > 300eV >400eV
컨택트 홀이 적어도 부분적으로 에칭된 이후, 에칭이 추가로 수행되어야 하는지의 여부에 대한 결정이 수행된다 (단계 316). 이러한 결정은 측정을 수행함으로써 또는 설정 레시피에 의해 이루어질 수 있다. 에칭을 추가로 하는 것이 바람직한 경우, 처리 사이클은 단계 308 로 되돌아가 사이클을 진행하는데, 여 기서, 도 4d 에 도시된 바와 같이, 추가적인 보호층 (418) 은 포토레지스트 마스크 상에 성막된다. 이 예에서는, 구 보호층의 나머지 부분이 신규 보호층 (418) 의 부분으로 된다. 이 단계에서, 다시 제어기 (535) 가 제 1 제어밸브 (537) 를 개방하여 성막가스를 제공하고 제 2 제어밸브 (539) 를 폐쇄하여 에칭 가스의 플로우를 정지시킨다. 또한, 제어기 (535) 는 그 외의 파라미터들을 변경하여 성막을 수행할 수 있다.
이후, 포토레지스트 마스크를 통하여 컨택트 홀을 추가로 에칭하여 (단계312), 도 4e 에 도시된 바와 같이, 보다 깊은 컨택트 홀 (416) 을 제공한다. 이 단계에서, 다시, 제어기 (535) 는 제 1 제어밸브 (537) 를 폐쇄하여 성막가스를 정지시키고 제 2 제어밸브를 개방하여 에칭가스의 플로우를 가능하게 한다. 또한, 제어기 (535) 는 그 외의 파라미터들을 변경하여 에칭을 수행할 수 있다.
바람직하게는, 교대로 성막과 에칭 단계들을 실시하는 본 사이클 또는 루프가 1회 이상 반복된다. 바람직하게는, 본 사이클은 3회 이상 반복된다. 바람직하게는, 본 사이클은 적어도 5회 반복된다. 본 사이클은 수십회 반복될 수도 있다. 본 사이클은 100회 반복되는 것이 바람직하다.
바람직하게는, 도 4e에 도시된 바와 같이, 적어도 마지막 사이클에서, 에칭 단계는 보호층을 완전히 에칭하게 된다. 도 4f에 도시된 바와 같이, 에칭이 더 이상 필요하지 않을 경우, 포토레지스트 마스크를 제거하여 컨택트홀 (416) 을 갖는 산화물층 (408) 을 만든다 (단계 320). 포토레지스트 마스크는 처리 챔버 (500) 또는 상기 처리 챔버 (500) 에서 제거된 후 제거될 수도 있다.
다른 실시형태로, 에칭 단계는 감광 마스크상에 보호층을 성막하는 단계 (308) 전에 추가될 수도 있다.
바람직하게는, 상기 보호층에 대한 에칭 및 성막은 동일한 챔버에서 행해지지만, 다른 챔버에서 수행되는 것도 가능하다. 성막과 에칭 두 단계를 수행하기 위하여 캘리포니아, 프레몬트의 LAM 리서치 코퍼레이션에서 제조한 Exelan DFC 2300을 채택할 수도 있다. 성막과 에칭은 동일 챔버 내에서 수행되므로, 성막과 에칭의 사이클링은 신속하게 행해질 수 있다.
감광 마스크용 물질의 예로는 UV 포토레지스트, 193nm 포토레지스트, 157nm 포토레지스트, EUV 포토레지스트, e-빔 포토레지스트, 및 x-레이 포토레지스트와 같은 최신의 포토레지스트를 포함할 수 있으나, 반드시 이에 한정되는 것은 아니다. 과거의 포토레지스트 폴리머물질들은, 요구되는 높은 에칭 레지스턴스, 즉 에칭가스 혼합물에의 높은 케미칼적 불활성을 제공하도록, C-C 이중결합과 심지어는 C-C 3중결합과 같은 카본결합과 같이, 불포화 C-C결합이 포함되도록 구성된다. 이들 결합은 매우 강하며, 이들 결합을 끊는데는 높은 활성화 에너지가 요구되기 때문에, 이에 따라 비교적 낮은 이온 에너지에서, 구 세대의 포토레지스트는 에칭 가스 혼합물에 대하여 상당히 낮은 에칭 레이트를 나타낼 수 있다. 이들 불포화결합은 리소그래피 노광 파장에서 흡수하기 때문에, 193nm와 157nm를 포함한 보다 새로운 세대의 포토레지스트는 이러한 불포화결합들을 포함하지 않아, 많이 감소된 포토레지스트 에칭 레지스턴스를 유도한다. 적어도 하나의 보호막 가스를 함유하는 에칭 혼합물을 이용하여, 에칭단계 중 포토레지스트상에 보호코팅을 공급함으로써, 높은 이온 충격에너지에서도, 포토레지스트의 에칭 레지스턴스가 상당히 개선된다. 상기 발명이 에칭 레지스턴스를 개선시킬 수 있는 높은 이온 충격에너지는 50 내지 2,000eV일 수 있다. 보다 바람직하게는, 이온 충격에너지는 200 내지 1,5000eV일 수 있다. 가장 바람직하게 이온 충격에너지는 500 내지 1,000eV이다.
비아 에칭 예
193 포토레지스트 마스크를 갖는 SiO2층, 및 SiO2층과 포토레지스트 마스크 사이의 BARC (bottom antireflective coating) 를 에칭하는, 본 발명의 특정 예에서는 프로세스 챔버 (500) 로 Exelan DFC 2300을 사용한다. 처리 챔버 (500) 에서 BARC 에칭이 수행된다. BARC 에칭은 110millitorr의 압력을 갖는데, 이는 한정 링 (502), 배기펌프 (520) 및 가스 주입구 (543) 를 통한 유량에 의해 설정될 수 있다. 27MHz에서 인가된 전력은 1200watt이며, 2MHz에서 전극 (504, 508) 을 통하는 전력은 0watt이다. 에칭 케미칼은 아르곤이 700sccm, CF4가 60sccm, O2가 12sccm이다. 상부 전극 (504) 은 180℃ 의 온도에 놓인다. 하부 전극 (508) 에 의하여 형성된 척은 10℃ 의 온도에 놓인다. 백사이드 내측 영역 척의 헬륨 압력은 15torr에 있게 된다. 백사이드 외측 영역 척의 압력은 15torr 에 놓인다. 본 예에서, BARC 에칭은 50초 동안 유지된다. 제어기 (535) 는 위 파라미터들을 제어한다. 추가 가스 소스 (516) 는 BARC 에칭용 가스를 공급하는 데 이용될 수 있다. 추가 가스 소스 (516) 는 하나 이상의 가스 소스를 나타낼 수도 있다. 제 3 밸브 (541) 는, 상기 추가 가스들이 상기 제어기 (535) 에 의해 독립적으로 제어될 수 있도록, 하나 이상의 밸브를 나타낼 수도 있다. Exelan DFC 2300의 경우, 백사이드의 헬륨 압력은 척을 냉각시키는데 이용된다. Exelan DFC 2300은, 척 중심에 보다 가까운, 내측 백사이드 압력과 상기 척의 외측 가장자리에 보다 가까운 외측 백사이드 압력을 가능하게 해준다. 제어기 (535) 는 이들 압력을 제어할 수 있다.
보호층의 성막은, 27MHz에서 인가전력 800watt, 2MHz에서는 400watt로, 압력 50millitorr하에 Exelan DFC 2300 내에서 수행된다. 성막케미칼은 아르곤이 500sccm, CH3F가 50sccm이다. 상부전극은 180℃ 의 온도에 놓이게 된다. 척은 온도 10℃에 놓이게 된다. 백사이드 내측 영역 척의 헬륨 압력은 30torr에 있게 된다. 백사이드 외부 영역 척의 헬륨 압력은 12torr에 있게 된다. 본 예에서, 성막 가스 소스 (512) 는 에칭 중에는 공급되지 않는 CH3F를 공급하게 된다. 아르곤은, 성막 및 에칭 중에 모두 공급되므로, 상기 추가 가스 소스 (516) 로부터 공급될 수 있다. 제어기 (535) 는 제 1 밸브 (537) 를 열고 제 2 밸브 (539) 를 닫게 된다. 제어기 (535) 는 또한 상기 추가 가스 소스로부터의 아르곤의 플로우를 제어한다. 제어기 (535) 는 전술한 전력과 파라미터들을 제어하게 된다.
SiO2층의 에칭은, 27MHz에서 인가전력 2500watt, 2MHz에서는 3500watt로, 40millitorr의 압력하에 Exelan DFC 2300 내에서 수행된다. 에칭케미칼은 아르곤이 400sccm, C4F6가 36sccm, O2가 30sccm이다. C4F6는, 에칭하는 동안 중합시켜주는, 폴리머 형성자 가스이다. O2는 에칭 인에이블러 가스이다. C4F6로부터의 불소가 에칭시에 사용되지만, 본 예에서 불소는 에칭을 가능하게 해주는 산소의 존재가 요구된다. 상부 전극은 온도 180℃에 있게 된다. 척은 온도 10℃에 있게 된다. 백사이드 내측 영역 척의 헬륨 압력은 30torr에 놓이게 된다. 백사이드 외측 영역 척의 압력은 12torr에 있게 된다. 본 예에서는, 산소가 없이 C4F6가 성막 중에 사용되지만, 에천트 가스 소스 (514) 는, 성막 중에는 공급되지 않는, C4F6와 O2를 공급하게 된다. 제어기 (535) 는 제 1 밸브 (537) 를 폐쇄하고 제 2 밸브 (539) 를 개방하게 된다. 제어기 (535) 는 또한 상기 추가 가스 소스의 아르곤 플로우를 제어하게 된다. 제어기 (535) 는 전술한 전력과 다른 파라미터들을 제어하게 된다.
본 예에서, 먼저 BARC 에칭이 50초 동안 수행된다. 다음으로, 보호층의 성막 (단계 308) 이 10초 동안 수행된다. 그 다음, 컨택트홀은 25초 동안 에칭된다 (단계 312). 이후, 보호층의 성막이 10 초 동안 수행된다 (단계 308). 25초 동안 피처의 에칭 (단계 312) 과 10초 동안 보호층의 성막 (단계 308) 이 4회 반복된다. 피처의 최종 에칭은 80초 동안 수행된다 (단계 312). 사이클이 완료되고 (단계 316) 포토레지스트가 제거된다 (단계 320). 따라서, 본 예에서는, 성막 (단계 308) 및 에칭 (단계 312) 이 5 사이클 동안 수행된다.
위와 동일한 시퀀스에 대한 또 다른 개념을 아래와 같이 표현할 수 있다:
50초의 BARC 에칭 + 10 초의 성막 + 4×(25초 에칭 + 10초 성막) + 80초 에칭.
본 예에서, 바람직하게는 보호층은, 피처의 바닥보다 피처의 마스크 및 측벽상에서 더 두껍도록 또는 피처의 바닥에는 보호층이 전혀 존재하지 않도록, 피처의 마스크 및 측벽상에 형성된다.
상기 공정 조건들에 보다 특별히 부합시키기 위해 다른 조건들을 이용할 수도 있다. 추가 공정들이 각 사이클에 부가될 수도 있다. 본 예에서 처리 챔버는 Exelan DFC 2300이지만, 다른 변형의 에칭시스템이 이용될 수도 있다.
도 6은, 향상된 보호층 성막 및 에칭 공정을 이용하여, HARC (High Aspect Ratio Contact) 에칭 (608) 의 형성을 위해, 193 포토레지스트 마스크로 마스크된, SiO2층 (604) 에 대한 현미경사진이다. 도 7은, 개선된 보호층의 성막 및 에칭 공정을 이용하지 않고, HARC (High Aspect Ratio Contact) 에칭 (708) 형성을 위해, 193 포토레지스트 마스크로 마스크된, SiO2층 (704) 에 대한 현미경사진이다. 도 6 및 도 7을 비교하면 알 수 있듯이, 개선된 성막 및 에칭 공정은 컨택트들이 좀더 원형에 가깝다는 점에서 포토레지스트 마스크 (오리지날 마스크 패턴들은 원형 홀들의 어레이들임) 로부터 바람직한 패턴 전송을 제공한다. 한편, 포토레지스트를 위한 보호층의 성막이 없는 종래 기술에 의한 에칭은 오리지날 패턴의 왜곡을 일으키는데, 이는 불균일한 형상의 컨택트홀들에 의하여 도시된 유전체층에서 명백히 나타나며 만족스럽지 못한 것이다. 포토레지스트 및 피처의 측벽상의 보호층은 또한, 몇몇 에칭 공정에서 발견되는, 찰흔을 예방할 수 있다.
적층 포토레지스트 마스크의 제조가 매우 복잡하기 때문에 본 발명은 적층 마스크 사용시보다도 비용면에서 보다 효율적인 공정을 제공한다. 본 발명은 또한 적층 마스크 처리보다도 보다 적은 비용으로 더 좋은 에칭 결과를 제공한다.
에칭될 층은 (실리콘 산화물과 같은) 유전체층, (메탈과 실리콘 또는 다른 타입의 반도체들과 같은) 전도층, 또는 (실리콘 질화물 및 실리콘 산화질화물과 같은) 하드마스크층일 수 있다. 전도층 에칭을 위해서, 염소, 플루오르, 또는 브롬과 같은, 할로겐이 에칭단계에서 사용될 수도 있는데, 이 때 성막은 카본이 풍부한 박막이나 Si를 함유한 박막을 성막하는 데 사용되는 케미칼을 포함한다.
본 발명에 대한 바람직한 실시형태에서는, 어떤 경우 혼합이 별도의 성막 및 에칭 공정의 효율을 떨어뜨리기 때문에, 성막가스 성분들 중 일부가 에칭가스의 성분과 혼합되지 않는 것이 바람직하다. 그 결과, 제어기는 어느 가스는 다른 가스가 첨가되기 전에 소진되도록 가스의 플로우에 대한 시간을 조절하여야 한다.
바람직한 실시형태에서, 에칭가스 소스의 에천트 가스는 성막단계 중에는 플라즈마 처리 챔버에 공급되지 않으며 성막가스 소스의 성막가스는 에칭단계 중에는 플라즈마 처리 챔버에 공급되지 않는다. 이는 에칭가스나 성막가스의 성분을 공급하지 않음으로써 이루어질 수 있다. 예를 들어, 산소 또는 산소를 함유하는 가스가 에칭 가스의 중요한 에칭 구성요소이다. C4F6도 에천트 가스에 사용되지만, 본 예에서 에칭은 산소없이 C4F6에 의하여 이루어지지 않는다. 따라서, 성막 중에 C4F6가 공급되는 경우에도, 성막단계 동안 산소나 산소를 함유하는 가스를 공급하지 않는 것이 성막단계 중에 에칭가스를 공급하지 않는 방법이 된다. 또한 성막공정이 보호코팅을 위해 에칭을 하지 않거나 또는 에칭을 했어도 무시할 수 있는 정도 (에칭될 층의 10% 미만) 인 것이 바람직하다. 그와 같은 성막공정은, CVD와 스퍼터링이 에칭을 위해 이용되는 것은 아니므로, 반드시 이에 한정되는 것은 아니지만, CVD 성막이나 스퍼터링일 수 있다. 성막가스는 에칭단계에서의 폴리머 형성자와 동일한 것이며, 성막가스는 에칭단계 동안 공급될 수 있다. 그와 같은 경우, 성막단계와 에칭단계 사이에 한 가지 다른 점은 에칭가스의 에칭 성분이 에칭단계 동안만 존재한다는 점이다. 또한, 에칭단계 중의 바이어스 전력은 지향성 에칭을 제공하기 위해 더 높을 수도 있다.
별도의 성막단계를 제공하고 에칭단계 중 중합을 위한 폴리머 형성자가 존재함으로써 보다 높은 에칭률과 보다 좋은 이방성 에칭을 위한 고에너지 에칭 이온을 사용하는 것이 가능하다.
패시베이션가스들을 에칭혼합물에 유지시킴으로써, 에칭 마스크가 불필요하게 부식되거나 손상되는 일 없이 보다 높은 이온에너지를 사용하는 것이 가능하게 된다. 또한, 에칭단계가 지속되는 동안 이방성 에칭이 이루어질 수 있다. 방전된 에칭 및 리타데이션 가스의 상호작용으로 코팅의 질이 떨어지게 되므로, 별도의 패시베이션단계를 이용함으로써, 가령, 에칭 혼합물로 제조된 경우보다 견고하고 내구성이 좋은 코팅을 형성하는 패시베이션 케미칼 혼합물을 선택하여, 프로파일 및 마스크 보호의 최적화를 이룰 수 있다. 또한, 압력과 밀도와 같은, 패시베이션 케미칼 조건들이 성분, 두께와 같은 패시베이션 코팅 특성들을 최적화시킬 수 있도록 조절될 수 있다.
따라서, 독립적 패시베이션과 에칭 패시베이션 단계를 구비함으로써, 온도, 전력, 압력, 이온에너지, 및 처리 가스와 같은, 처리 조건들이 각 단계들의 최적 조건들에 따라 각각 다양하게 독립적으로 제어되어 최적화된 코팅 및 최적의 에칭을 제공하게 된다.
에칭 및 성막 단계 동안 모두 아르곤을 대신하여 다른 불활성가스가 캐리어가스로 사용될 수도 있다. 또 다른 불활성가스의 예에는 네온이 있다.
본 발명의 예에서, 플라즈마 (케미칼 및 전기 방전으로 유지되는 대전입자들의 혼합물) 와 접촉할 수도 있는 챔버벽 영역들은 가능한 한 작고 상승 온도에서 유지될 수 있도록 제조된다. 이러한 목적은, 한 처리단계에서 형성된 챔버벽 영역의 코팅에 함유된 케미칼성분이 방출되어 다음 단계들과 간섭하게 되는, 이른바 "메모리" 효과를 피하기 위하여 챔버벽 영역상의 전체 성막을 최소화하기 위한 것이다.
전구체 소스에서 처리 챔버까지의 가스의 운동 시간은 매우 짧은 것이 바람직할 수도 있다. 필요한 일정 플로우를 설정하는 시간 및 처리 챔버에 상기 가스가 완전히 존재하지 않도록 설정하는 시간을 표시하는, 가스 플로우의 안정화 시간은 안정한 가스 혼합물의 성분에서 다음 성분으로의 전환이 매우 신속하게 이루어질 수 있도록 매우 짧게 한다. 본 발명의 이러한 목적은, 성능을 떨어뜨릴 수 있는, 두개의 다른 단계들간 케미칼물질들의 내부적인 혼합을 피하기 위한 것이다.
전자 시스템 및 전력의 전기 방전으로의 전환을 제어하는 제어 네트워크가 방전 조건 및 전력의 변경에 매우 빠르게 반응하는 것이 또한 바람직할 수 있다. 또한, 가스 혼합물의 압력 및 웨이퍼 기판의 온도와 같은, 처리 챔버의 외부 조건들을 신속하게 변경시키고 안정화시킬 수 있는 것이 바람직할 수 있다. 두개의 다른 단계들이 수회 반복되므로 각 단계를 조정하는 공정조건들이 여러 번 변경되어야 한다. 그와 같은 공정조건들이 신속하게 변경되면 보다 빠른 사이클링 타임이 실현되며 공정조건들이 특히 각 단계들을 각각 최적화시키는 단계들 사이에서 다양화되는 것이 가능하다. 따라서, 또한 처리 조건들의 신속한 변경을 제어하고 동시 진행이 가능한 중앙 컴퓨터 시스템을 구비하는 것이 바람직할 수 있다. 상기 컴퓨터는 필요한 변경들에 대한 명령을 보내고 처리 챔버 내 여러 조건 변경들을 제공하는 다양한 장치들의 사전 결정된 시간 지연들을 동시에 진행시키는 데에 이용된다.
성막단계는 일련의 다른 코팅단계를 포함할 수도 있다. 에칭단계는 일련의 다른 에칭단계를 포함할 수도 있다.
트렌치 에칭 예
도 13은 비아 제 1 방식을 이용하여 듀얼 다마신 피처를 형성하는 예에 대한 상위 수준의 플로우도이다. 먼저 다수의 비아들이 형성된다 (단계 1304). 상기한 예들에서 기술된 방법을 이용하여 비아들이 형성될 수 있다. 도 14a는 트렌치 패턴 마스크 (1428) 가 형성된 기판 (1410) 일부에 대한 단면도이다. 제 1 컨택트 (1404) 와 제 2 컨택트 (1406) 는 기판 (1410) 상의 저유전율 유전체층 (1408) 에 위치될 수 있다. 일반적으로, 유전체 장벽층 (1412) 은, 반드시 실리콘 질화물이나 실리콘 탄화물에 한정되는 것은 아니나, 구리 확산을 막기 위하여 제 1 및 제 2 컨택트 (1404, 1406) 위에 위치할 수도 있다. 저유전율 유전체층(1420) 은 장벽층 (1412) 위에 위치되어 있다. 제 1 비아 (1440) 및 제 2 비아 (1444) 는 저유전율 유전체층 (1420) 에 에칭된다. 부분적인 플러그들 (1448) 이 비아의 저면에 형성될 수 있다 (단계 1308). 이 단계는 선택적이다. 몇몇 예에서, 부분적인 플러그를 형성시키는 본 단계가 생략될 것이다. 트렌치 패턴 마스크 (1428) 가 기판 위에 형성된다 (단계 1312).
저 유전체층 (1420) 은 트렌치 에칭 사이클 (1314) 을 수행 받는다. 트렌치 에칭 사이클 (1314) 은 플라즈마 성막으로 비아의 측벽상에 보호층을 성막하고 (단계 1316) 마스크를 통해 에칭하는 단계 (단계 1312) 를 포함한다. 에칭이 종료될 때까지 사이클이 반복된다 (단계 1324). 바람직한 예에서, 트렌치 에칭 사이클은 적어도 1회 반복된다. 보다 바람직하게는, 트렌치 에칭 사이클은 적어도 3회 반복된다. 가장 바람직하게는, 트렌치 에칭 사이클은 적어도 5회 반복된다. 사이클 횟수가 많으면 많을수록, 펜스부 및 면형성부를 감소시키고, 각 사이클에 도포되는 측벽이 보다 얇게 될 수 있다. 도 14b는 보호층 (1452) 이 성막된 이후 기판 (1410) 의 일부 단면도이다. 바람직하게는, 보호층의 성막은 등방성 조준선 플라즈마 성막이며, 이는 본 예에서 마스크 상부면상의 두꺼운 층과 비아의 측벽상의 얇은 층을 형성한다. 가장 바람직하게는, 상기 성막은, 측벽의 표면은 물론 상부상에 동일한 두께를 갖기 위해, 성막된 보호층이 부합돼 있는, 표면 반응 타입이다. 바람직하게는, 성막공정은 또한, 성막공정의 전체적인 도포 효과가 수평면상에는 거의 또는 아예 성막을 하지 않은 채 수직 측벽상에 보호 코팅을 하는 결과가 되는 방식으로, 이온 지원 에칭이나 스퍼터링과 같은, 지향성 제거 메카니즘을 포함한다. 따라서, 보호 코팅이 수직 측벽 프로파일상에서만 형성되고 에칭될 유전체층의 수평 에칭면상에는 형성되지 않도록, 성막공정은 또한 선택적인 측벽 보호 효과를 위해 이온에너지가 충분히 높은 것이 바람직하다. 케미칼이 마스크층에는 반응하지 않고 유전체층에 대해서는 반응하는 방식으로 선택되기 때문에 보호 코팅이 마스크 패턴의 수평 상부면상에 형성될 수 있다. 도 14c는 마스크를 통해 트렌치를 에칭하는 단계 (단계 1320) 이후 기판 (1410) 의 일부에 대한 단면도이다. 비아의 일부 또는 전체가 에칭된다. 바람직한 실시형태에서, 에칭은 고이온에너지 지향성 이방성 에칭이다.
트렌치가 부분적으로 에칭된 후, 상기 에칭이 계속되면 (단계 1324), 처리 사이클들은, 도 14d에 도시된 바와 같이, 비아에 보호 측벽을 다시 형성하는 것으로서, 또 다른 보호층 (1460) 이 성막되는 단계 (단계 1316) 로 돌아가게 된다. 도 14e에 도시된 바와 같이, 트렌치 (1456) 를 더욱 깊게 하기 위해 트렌치에 대한 에칭이 더 수행된다 (단계 1320). 상기 사이클은 트렌치가 필요한 두께로 에칭될 때까지 계속된다 (단계 1324). 이 후 잔여 보호층과 마스크가 제거된다 (단계 1328).
본 실시형태는 면형성을 막기 위해 측벽 보호를 제공한다. 면형성부를 막기 위한 높은 플러그의 요구를 제거할 수 있다. 장벽층의 개방을 막기 위해 보다 짧은 플러그가 이용될 수 있다. 그러나, 몇몇 실시형태는 모든 플러그를 제거하는 것이 가능할 수도 있다.
레시피
본 발명의 특정 예에서, 비아 제 1 방법을 이용하여 듀얼 다마신 피처를 형성하기 위해 Coral™층이 에칭된다. 비아들은 먼저 Coral로 에칭된다 (단계 1304). 본 예에서, 부분적인 플러그는 형성되지 않는다. 포토레지스트 트렌치 패턴 마스크가 Coral층 위에 형성된다 (단계 1312). 비반사층 또는 다른 층들이 Coral층과 포토레지스트 마스크 사이에 위치될 수 있다. 그와 같은 경우, 다양한 에칭 단계들이 그러한 중간층들을 개방하기 위해 첨가될 수 있다.
비아의 측벽들상 보호층들에 대한 성막 (단계 1316) 은, 27MHz에서 인가전력 400watt, 2MHz에서 인가전력 0watt로, 압력 80millitorr하에 Exelan DFC 2300 내에서 수행된다. 성막 케미칼은 아르곤 600sccm, H2 80sccm, 및 CF4 60sccm이다. 상부 전극은 온도 25℃에 놓인다. 척은 온도 20℃에 놓인다. 백사이드 내측 영역 척의 헬륨 압력은 15torr에 놓인다. 백사이드 외측 영역 척의 압력은 15torr에 놓인다. 본 단계는 45초 동안 수행된다.
Coral™층으로의 트렌치 에칭은 27MHz에서 인가전력 800watt, 2MHz에서 인가전력 0watt로, 압력 80millitorr하에 Exelan DFC 2300 내에서 수행된다. 에칭케미칼은 아르곤 200sccm, CF4 60sccm, CHF3 20sccm, 및 O2 10sccm이다. CF4는, 에칭 중 중합을 제공하는, 폴리머 형성자 가스이다. O2는 에칭 인에이블러 가스이다. CF4의 불소는 에칭에서 사용되지만, 본 예에서 불소는 산소가 존재하여 에칭이 가능하게 해줄 것을 필요로 한다. 상부 전극은 온도 25℃에 놓인다. 척은 온도 20℃에 놓인다. 백사이드 내측 영역 척의 헬륨 압력은 15torr에 놓인다. 백사이드 외측 영역 척의 압력은 15torr에 놓인다.
트렌치 에칭 가스는 에칭가스 성분 중 적어도 하나 및 적어도 폴리머 형성자 가스 성분 중 적어도 하나를 포함하여야 한다. 폴리머 형성자 가스는 트렌치 측벽 표면상에 측벽 보호를 제공하여 이방성 에칭방식으로 수직 트렌치 프로파일 효과를 가져올 수 있어야 한다. 트렌치 에칭이 계속되는 동안 보호되어야 하므로 트렌치 수직면들은 트렌치 에칭이 지속되는 동안 계속해서 개방돼 있으며, 트렌치와 비아 수직 측벽들이 상당히 다르기 때문에, 트렌치 에칭이 시작되기 전에 비아 수직 면들이 개방된다. 또한 중간 내지 높은 이온에너지들이 트렌치 프로파일, 마스크 및 기층 선택도의 성능에 도움을 주기에 바람직하다. 에칭 예에서, 800w 27MHz RF 전력은 200ev보다 큰 이온충격에너지를 제공한다. 마이크로 트렌칭, 애스펙트비의 종속성 등과 같은 트렌치 에칭의 성능의 영향에 따라 보다 높은 이온에너지도 가능하다.
보호층의 성막 (단계 1316) 은 45초 동안 수행된다. 다음으로, 트렌치가 30초 동안 에칭된다 (단계 1320). 보호층의 성막 (단계 1316) 과 트렌치의 에칭 (308) 은 수회 반복될 수 있는데, 이는 3300Å의 트렌치 에칭을 제공한다.
도 15a는 상기 예에 따라 에칭된 듀얼 다마신에 대한 단면도의 현미경사진이다. 도 15b는 듀얼 다마신 피처의 상부 및 측면 사시도이다. 비아들 (1504) 은 Coral층 (1508) 에 에칭된다. 트렌치 (1512) 는 또한 Coral층에 에칭된다. 본 예는 부분적인 플러그를 사용하지 않으므로, 플러그를 제거하는 단계가 필요없도록, 비아에 플러그가 존재하지 않는다. 작은 크기의 면형성부 (1516) 가 나타날 수 있지만, 비아 및 트렌치가 잘 형성된다. 현미경사진 또한 본 예의 펜스부가 상당히 감소 또는 제거되었다는 사실을 보여준다.
도 8a와 도 8b는 제어기 (535) 로 사용하기에 적합한 컴퓨터 시스템 (800) 을 도시하고 있다. 도 8a는 제어기 (535) 로 사용될 수 있는 한 가지 가능한 물리적 형태의 컴퓨터 시스템을 도시하고 있다. 컴퓨터 시스템은 집적회로, 인쇄회로기판, 및 소형 기기에서부터 대형 수퍼 컴퓨터에 이르기까지 많은 물리적 형태를 가질 수 있다는 것은 당연한 사실이다. 컴퓨터 시스템 (800) 은 모니터 (802), 디스플레이 (804), 하우징 (806), 키보드 (810), 및 마우스 (812) 를 포함한다. 디스크 (814) 는 컴퓨터 시스템 (800) 과 데이터를 송수신하기 위해 사용된 컴퓨터 판독가능 매체이다.
도 8b는 컴퓨터 시스템 (800) 에 대한 블럭도를 예시한 것이다. 다양한 범위의 서브시스템들이 시스템 버스 (820) 에 부가되어 있다. 메모리 (824) 를 포함하여, 또한, (CPU라 하는) 프로세서(들) (822) 이 저장장치에 결합되어 있다. 메모리 (824) 는 RAM과 ROM을 포함한다. 당업계에 잘 알려져 있는 바와 같이, ROM은 데이터와 명령을 일방향으로 CPU에 전송하며 RAM은 통상적으로 쌍방향으로 데이터와 명령을 전송하는 데 이용된다. 두 가지 타입의 메모리 모두 이하에서 기술하는 임의의 적합한 타입의 컴퓨터 판독가능 매체를 포함할 수 있다. 고정형 디스크 (826) 는 또한 CPU (822) 에 쌍방향으로 결합되어 있는데, 부가적인 데이터 저장 용량을 제공하며 또한 아래에서 기술하는 임의의 컴퓨터 판독가능 매체를 포함할 수 있다. 고정형 디스크 (826) 는 프로그램, 데이터 등을 저장하는 데 사용되며 통상적으로 제 1 저장매체보다 느린 (하드 디스크와 같은) 제 2 저장매체이다. 고정형 디스크 (826) 가 보유한 정보는, 적절한 경우, 메모리 (824) 내 가상 메모리와 같이 표준 방식으로 채택될 수 있다고 이해될 것이다. 착탈가능 디스크 (814) 는 후술하는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수 있다.
CPU (822) 또한, 디스플레이 (804), 키보드 (810), 마우스 (812) 및 스피커 들 (830) 과 같은, 다양한 입력/출력 장치에 결합될 수 있다. 일반적으로, 입력/출력 장치는, 비디오 디스플레이, 트랙볼, 마우스, 마이크로폰, 접촉 감지 (touch-sensitive) 디스플레이, 변환기 카드 판독기, 자기 또는 페이퍼 테입 판독기, 태블릿, 스타일러스, 음성 또는 필체 인식기, 생물측정학 (biometrics) 판독기, 또는 다른 컴퓨터들 어떠한 것이라도 될 수 있다. CPU (822) 는 옵션으로 네트워크 인터페이스 (840) 를 이용하는 또 다른 컴퓨터 또는 원거리 통신 네트워크에 결합될 수도 있다. 그와 같은 네트워크 인터페이스에 의해, CPU는 네트워크로부터 정보를 수신하거나 전술한 방법의 단계들을 수행하는 동안 네트워크로 정보를 출력할 수 있다고 예상할 수 있다. 또한, 본 발명에 대한 방법의 실시형태들은 CPU (822) 상에서만 실행가능하며 또는 프로세스 일부를 공유하는 원격 CPU와 연결된 인터넷과 같은 네트워크를 통해 실행될 수도 있다.
아울러, 본 발명의 실시형태들은 컴퓨터로 구현되는 다양한 작동을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체가 구비된 컴퓨터 저장 매체 제품들과 관련되어 있다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위하여 특별히 설계 구성될 수 있으며, 또는 컴퓨터 소프트웨어업계의 당업자들에게는 잘 알려져 있으며 통용되고 있는 종류일 수도 있다. 컴퓨터 판독가능 매체의 예들에는, 하드디스크, 플로피 디스크, 및 자기 테입과 같은 자기 매체, CD-ROM과 홀로그래픽 장치와 같은 광학 매체, 광플로피디스크와 같은 광자기 매체, 및 ASIC (Application-Specific Integrated Circuit), PLD (Programmable Logic Devices) 및 ROM과 RAM 장치와 같은, 프로그램코드를 저장 및 실행시키기 위하여 특별히 구성된 하드웨어장치가 포함되지만, 반드시 이들에 한정되는 것은 아니다. 컴퓨터 코드의 예들로는 컴파일러로 만들어진 머신 코드와, 번역기를 이용하여 컴퓨터로 실행되는 상위 레벨 코드를 포함하는 파일들이 포함된다. 컴퓨터 판독가능 매체는 또한 반송파에 포함되며 프로세서에 의해 실행가능한 일련의 명령을 나타내는 컴퓨터 데이터 신호에 의해 전송된 컴퓨터 코드일 수 있다.
본 발명이 몇 가지 바람직한 예로 설명되어졌지만, 본 발명의 범위 내에서 변형, 변경, 및 균등물로의 치환하는 것이 가능하다. 본 발명에 의한 방법 및 장치를 구현시키는 여러 가지 대체 방법들이 존재한다. 따라서 다음에 첨부하는 청구범위는 그러한 대체물, 변경, 및 본 발명의 진정한 범위 내에 있는 균등물들을 포함하는 것으로 해석되어져야 한다.

Claims (55)

  1. 에칭 마스크를 통하여 층의 피처를 에칭하는 방법으로서,
    상기 에칭 마스크의 노출면 및 상기 피처의 수직 측벽 상에, 패시베이션 가스 혼합물로 보호코팅을 형성하는 단계; 및
    하나 이상의 에칭 케미칼과 하나 이상의 패시베이션 케미칼을 포함하는 반응성 에칭 혼합물로 상기 에칭 마스크를 통하여 상기 피처를 에칭하는 단계를 포함하며,
    상기 보호코팅을 형성하는 단계는 상기 피처의 하부 상에 보호코팅을 제공하지 않는 것인, 피처의 에칭방법.
  2. 제 1 항에 있어서,
    상기 에칭하는 단계는 기판에 200 eV 보다 큰 이온 충격 에너지 (ion bombardment energy) 를 제공하는 단계를 포함하는, 피처의 에칭방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 케미칼은 폴리머 형성자 및 에칭 인에이블러 (enabler) 를 포함하는, 피처의 에칭방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계 및 상기 에칭하는 단계는 공통 플라즈마 처리 챔버에서 수행되는, 피처의 에칭방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계는 무지향성 (non-directional) 성막을 이용하고 상기 에칭하는 단계는 지향성 (directional) 에칭을 이용하는, 피처의 에칭방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계는 비에칭 또는 무시가능한 에칭 성막인, 피처의 에칭방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계는 화학적 기상증착 및 스퍼터링 중 하나 이상의 것으로부터 선택되는, 피처의 에칭방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 층은 오직 단일층이며,
    상기 피처는 상기 보호코팅을 형성하는 단계 및 상기 피처를 에칭하는 단계 동안 상기 단일층에서만 에칭되고,
    상기 보호코팅을 형성하는 단계 및 상기 피처를 에칭하는 단계는 4 회 이상 순차적인 교대방식으로 수행되는, 피처의 에칭방법.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 마스크는 193 nm 이하 세대의 포토레지스트 마스크인, 피처의 에칭방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅은 상기 에칭 마스크의 노출 영역 및 상기 피처의 수직측벽 상에 선택적으로 형성되는, 피처의 에칭방법.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 패시베이션 가스 혼합물은 상기 에칭 마스크의 재료보다 층에 대하여 더 큰 케미칼적 활성을 가진 중합제를 방출하는, 피처의 에칭방법.
  12. 제 10 항에 있어서,
    지향적으로 활성화된 이온들은, 상기 층의 표면 상의 코팅의 선택적 제거 메카니즘을 활성화시킴으로써, 상기 보호코팅을 형성하는 단계 동안 상기 층의 수평면에 성막물이 축적되는 것을 선택적으로 방지하는데 이용되는, 피처의 에칭방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 패시베이션 가스 혼합물은 2 : 1 보다 작은 F : C 비를 가진 하이드로플루오로카본인, 피처의 에칭방법.
  14. 제 1 항 또는 제 2 항에 있어서,
    상기 패시베이션 케미칼 중 하나 이상은 CH3F, CH2F2, C2H5F, C2H4F2, C3H7F, C3H6F2, C2H3F, CH4, C2H6, C2H4, C3H8, C2H2 중 하나인, 피처의 에칭방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 패시베이션 가스 혼합물은 Ar 과 CH3F 의 혼합물인, 피처의 에칭방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    패시베이션 단계에 제공되는 이온 에너지는 100 eV 보다 큰, 피처의 에칭방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 케미칼 중 하나 이상은 C4F6 인, 피처의 에칭방법.
  18. 제 1 항 또는 제 2 항에 있어서,
    방전에 이용되는 RF 주파수 중 하나 이상은 2MHz, 27MHz 및 60MHz 중 하나인, 피처의 에칭방법.
  19. 제 1 항 또는 제 2 항에 있어서,
    RF 방전 주파수는 400KHz 내지 13.56MHz 범위의 하위 주파수와 27MHz 내지 120MHz 범위의 또 다른 상위 주파수의 조합으로 구성되는, 피처의 에칭방법.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 제 1 항 또는 제 2 항에 있어서,
    상기 층은 오직 단일층이며,
    상기 피처는 상기 보호코팅을 형성하는 단계 및 상기 피처를 에칭하는 단계 동안 상기 단일층에서만 에칭되는, 피처의 에칭방법.
  43. 삭제
  44. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계는 선택적인 화학적 기상증착을 이용하여 수행되는, 피처의 에칭방법.
  45. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 마스크는 포토레지스트 마스크이며, 상기 보호코팅을 형성하는 단계는 상기 에칭 마스크의 내에칭성보다 높은 내에칭성을 가진 보호코팅을 형성하는, 피처의 에칭방법.
  46. 제 45 항에 있어서,
    상기 보호코팅을 형성하는 단계는 비정질 카본의 보호코팅을 형성하는, 피처의 에칭방법.
  47. 제 45 항에 있어서,
    상기 보호코팅을 형성하는 단계는 다중비정질 (polyamorphous) 실리콘의 보호코팅을 형성하는, 피처의 에칭방법.
  48. 제 45 항에 있어서,
    상기 보호코팅을 형성하는 단계는 의사 (pseudo) 하드마스크를 형성하는, 피처의 에칭방법.
  49. 제 1 항 또는 제 2 항에 있어서,
    상기 층에 비아를 형성하는 단계;
    상기 층 위에 트렌치 패터닝된 마스크인 에칭 마스크를 제공하는 단계를 더 포함하며,
    상기 피처는 트렌치인, 피처의 에칭방법.
  50. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계는 폴리머 형성자로서 H2, CH3F, CH2F2, CHF3, C4F6, C4F8 중 하나 이상 및 에칭 가스로서 CF4, C2F6, 및 NF3 중 하나 이상을 포함하는 가스 혼합물을 이용하는, 피처의 에칭방법.
  51. 제 1 항 또는 제 2 항에 있어서,
    상기 보호코팅을 형성하는 단계는 CF4와 H2를 포함하는 혼합물을 이용하는, 피처의 에칭방법.
  52. 제 51 항에 있어서,
    상기 CF4 대 H2 가스 유량비는 체적유량비로 0.6 : 1 내지 1.4 : 1 의 범위인, 피처의 에칭방법.
  53. 제 1 항 또는 제 2 항에 있어서,
    상기 에칭 층은 로우-k 유전체 재료인, 피처의 에칭방법.
  54. 제 1 항 또는 제 2 항에 있어서,
    트렌치 플라즈마 에칭 처리의 시작 이전에, 희생 충전재 (sacrificial filler material) 로 비아홀을 충전하지 않는, 피처의 에칭방법.
  55. 제 1 항 또는 제 2 항에 있어서,
    트렌치 플라즈마 에칭 처리의 시작 이전에, 비아홀 높이의 50% 이하까지 충전재로 비아홀을 충전하는, 피처의 에칭방법.
KR1020057006281A 2002-10-11 2003-10-06 플라즈마 에칭 성능 강화를 위한 방법 KR101029947B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US41780602P 2002-10-11 2002-10-11
US60/417,806 2002-10-11
US10/295,601 2002-11-14
US10/295,601 US6833325B2 (en) 2002-10-11 2002-11-14 Method for plasma etching performance enhancement
US10/674,675 2003-09-29
US10/674,675 US7169695B2 (en) 2002-10-11 2003-09-29 Method for forming a dual damascene structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021194A Division KR101075045B1 (ko) 2002-10-11 2003-10-06 플라즈마 에칭 성능 강화를 위한 방법

Publications (2)

Publication Number Publication Date
KR20050118267A KR20050118267A (ko) 2005-12-16
KR101029947B1 true KR101029947B1 (ko) 2011-04-19

Family

ID=32096823

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057006281A KR101029947B1 (ko) 2002-10-11 2003-10-06 플라즈마 에칭 성능 강화를 위한 방법

Country Status (6)

Country Link
US (1) US7169695B2 (ko)
EP (1) EP1550153A2 (ko)
JP (1) JP5019748B2 (ko)
KR (1) KR101029947B1 (ko)
AU (1) AU2003282718A1 (ko)
WO (1) WO2004034445A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170092645A (ko) * 2014-12-04 2017-08-11 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 실리콘 다이옥사이드 기판의 식각 방법 및 식각 장치

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69425186T3 (de) * 1993-04-28 2005-04-14 Nichia Corp., Anan Halbleitervorrichtung aus einer galliumnitridartigen III-V-Halbleiterverbindung und Verfahren zu ihrer Herstellung
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
EP1793418B1 (en) * 2004-07-02 2013-06-12 Ulvac, Inc. Etching method and system
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7090782B1 (en) * 2004-09-03 2006-08-15 Lam Research Corporation Etch with uniformity control
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
SG128529A1 (en) * 2005-06-28 2007-01-30 United Microelectronics Corp Method for eliminating bridging defect in vial first dual damascene process
US7238619B2 (en) * 2005-07-06 2007-07-03 United Microelectronics Corp. Method for eliminating bridging defect in via first dual damascene process
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness
US7569478B2 (en) * 2005-08-25 2009-08-04 Tokyo Electron Limited Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
JP4507120B2 (ja) * 2005-11-11 2010-07-21 エルピーダメモリ株式会社 半導体集積回路装置の製造方法
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
US7910489B2 (en) 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7300878B1 (en) * 2006-05-25 2007-11-27 Texas Instruments Incorporated Gas switching during an etch process to modulate the characteristics of the etch
KR101346897B1 (ko) 2006-08-07 2014-01-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 시스템
MY148830A (en) * 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US20080146034A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. Method for recess etching
KR100867123B1 (ko) * 2007-04-03 2008-11-06 삼성전자주식회사 반도체소자의 식각방법
US7838432B2 (en) * 2007-04-16 2010-11-23 Applied Materials, Inc. Etch process with controlled critical dimension shrink
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8262920B2 (en) * 2007-06-18 2012-09-11 Lam Research Corporation Minimization of mask undercut on deep silicon etch
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
US20110068086A1 (en) * 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
JP5357710B2 (ja) 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US8324114B2 (en) 2010-05-26 2012-12-04 Lam Research Corporation Method and apparatus for silicon oxide residue removal
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
JP5685762B2 (ja) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 プラズマ加工形状シミュレーション装置及びプログラム
KR101962191B1 (ko) 2011-03-29 2019-03-26 제온 코포레이션 플라즈마 에칭 가스 및 플라즈마 에칭 방법
US9418867B2 (en) 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
US9595451B1 (en) * 2015-10-19 2017-03-14 Applied Materials, Inc. Highly selective etching methods for etching dielectric materials
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
KR102362282B1 (ko) 2016-03-29 2022-02-11 도쿄엘렉트론가부시키가이샤 피처리체를 처리하는 방법
JP6784530B2 (ja) 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
WO2018048925A1 (en) * 2016-09-06 2018-03-15 Tokyo Electron Limited Method of quasi atomic layer etching
JP6861535B2 (ja) 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
CN108919407A (zh) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 金属线及金属线栅的制备方法以及线栅偏振片、电子装置
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US10832950B2 (en) * 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
KR102629727B1 (ko) * 2019-02-11 2024-01-25 양쯔 메모리 테크놀로지스 씨오., 엘티디. 보호층의 인시튜 형성에 의한 신규한 에칭 방법
US11444029B2 (en) 2020-02-24 2022-09-13 International Business Machines Corporation Back-end-of-line interconnect structures with varying aspect ratios
WO2021202229A1 (en) * 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
US11776811B2 (en) * 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
US20220005688A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
WO2022059440A1 (ja) * 2020-09-18 2022-03-24 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000030168A1 (en) * 1998-11-16 2000-05-25 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
KR20010015338A (ko) * 1999-07-20 2001-02-26 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
US6236307B1 (en) 1998-09-11 2001-05-22 Kabushiki Kaisha Aiaishi Vehicle lock and alarm

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4414059A (en) * 1982-12-09 1983-11-08 International Business Machines Corporation Far UV patterning of resist materials
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3437863B2 (ja) * 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
JPH07226397A (ja) 1994-02-10 1995-08-22 Tokyo Electron Ltd エッチング処理方法
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
JP3685832B2 (ja) * 1995-02-28 2005-08-24 ソニー株式会社 半導体装置の製造方法
JP2956524B2 (ja) * 1995-04-24 1999-10-04 日本電気株式会社 エッチング方法
JPH0936089A (ja) 1995-07-19 1997-02-07 Toshiba Corp アッシング方法及びその装置
EP1357584A3 (en) 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE19641288A1 (de) * 1996-10-07 1998-04-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen verschiedener Substrate
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19706682C2 (de) * 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US6010603A (en) * 1997-07-09 2000-01-04 Applied Materials, Inc. Patterned copper etch for micron and submicron features, using enhanced physical bombardment
DE19730644C1 (de) * 1997-07-17 1998-11-19 Bosch Gmbh Robert Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
US5942446A (en) * 1997-09-12 1999-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6406995B1 (en) * 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
JP2000306887A (ja) * 1999-04-19 2000-11-02 Matsushita Electronics Industry Corp 基板処理方法および装置
US6187666B1 (en) * 1999-06-08 2001-02-13 Advanced Micro Devices, Inc. CVD plasma process to fill contact hole in damascene process
US6316169B1 (en) * 1999-06-25 2001-11-13 Lam Research Corporation Methods for reducing profile variation in photoresist trimming
US6235453B1 (en) 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6291357B1 (en) * 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
WO2001029879A2 (en) 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6500743B1 (en) * 2000-08-30 2002-12-31 Advanced Micro Devices, Inc. Method of copper-polysilicon T-gate formation
US6569774B1 (en) * 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten
US20030027427A1 (en) * 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6236307B1 (en) 1998-09-11 2001-05-22 Kabushiki Kaisha Aiaishi Vehicle lock and alarm
WO2000030168A1 (en) * 1998-11-16 2000-05-25 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related hydroflourocarbons and manifesting a wide process window
KR20010015338A (ko) * 1999-07-20 2001-02-26 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170092645A (ko) * 2014-12-04 2017-08-11 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 실리콘 다이옥사이드 기판의 식각 방법 및 식각 장치
KR102082803B1 (ko) * 2014-12-04 2020-02-28 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 실리콘 다이옥사이드 기판의 식각 방법 및 식각 장치

Also Published As

Publication number Publication date
WO2004034445B1 (en) 2004-09-30
EP1550153A2 (en) 2005-07-06
JP2006514783A (ja) 2006-05-11
KR20050118267A (ko) 2005-12-16
AU2003282718A8 (en) 2004-05-04
JP5019748B2 (ja) 2012-09-05
WO2004034445A3 (en) 2004-08-12
US7169695B2 (en) 2007-01-30
US20040072430A1 (en) 2004-04-15
AU2003282718A1 (en) 2004-05-04
WO2004034445A2 (en) 2004-04-22

Similar Documents

Publication Publication Date Title
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6833325B2 (en) Method for plasma etching performance enhancement
US7977390B2 (en) Method for plasma etching performance enhancement
US7294580B2 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
JP5081917B2 (ja) フッ素除去プロセス
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
IL190716A (en) Method for plasma etching
EP1856717A2 (en) Stabilized photoresist structure for etching process
EP1697984A2 (en) Method of preventing damage to porous low-k materials during resist stripping
US7192531B1 (en) In-situ plug fill

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140326

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160328

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170331

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180330

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190328

Year of fee payment: 9