KR900007687B1 - 플라즈마처리방법 및 장치 - Google Patents

플라즈마처리방법 및 장치 Download PDF

Info

Publication number
KR900007687B1
KR900007687B1 KR1019870011527A KR870011527A KR900007687B1 KR 900007687 B1 KR900007687 B1 KR 900007687B1 KR 1019870011527 A KR1019870011527 A KR 1019870011527A KR 870011527 A KR870011527 A KR 870011527A KR 900007687 B1 KR900007687 B1 KR 900007687B1
Authority
KR
South Korea
Prior art keywords
plasma
voltage
sample
etching
ions
Prior art date
Application number
KR1019870011527A
Other languages
English (en)
Other versions
KR880005840A (ko
Inventor
요시나오 가와사끼
히로노부 가와하라
유다까 가께이
가도오 히로베
가쓰요시 구도오
Original Assignee
가부시기가이샤 히다찌세이사꾸쇼
미다 가쓰시게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 히다찌세이사꾸쇼, 미다 가쓰시게 filed Critical 가부시기가이샤 히다찌세이사꾸쇼
Publication of KR880005840A publication Critical patent/KR880005840A/ko
Application granted granted Critical
Publication of KR900007687B1 publication Critical patent/KR900007687B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy

Abstract

내용 없음.

Description

플라즈마처리방법 및 장치
제1도는 본 발명의 일실시예인 플라즈마처리장치를 나타낸 구성도.
제2도는 처리가스에 있어서의 바이어스 전압과 에칭속도 또는 퇴적속도와의 관계를 나타낸 선도.
제3도는 제1도의 장치에 의한 가속전압의 인가 패턴도.
제4도는 바이어스 전압을 변화시키지 않는 경우의 에칭 상태를 나타낸도.
제5도 및 제6도는 본 발명에 의한 에칭상태를 나타낸도.
제7도는 본 발명의 제2의 실시예인 플라즈마처리장치를 나타낸 구성도.
제8도는 제7도의 장치에 의한 가속전압의 인가 패턴도.
제9도는 본 발명의 제3의 실시예인 플라즈마처리장치를 나타낸 구성도.
제10도는 제9도의 장치에 의한 가속전압의 인가 패턴도.
제11도는 본 발명의 제4의 실시예인 플라즈마처리장치를 나타낸 구성도.
제12도는 제11도의 장치에 의한 가속전압의 인가 패턴도.
제13도는 본 발명의 제5의 실시예인 플라즈마처리장치를 나타낸 구성도.
제14도는 본 발명의 제6의 실시예인 플라즈마처리장치를 나타낸 구성도.
제15도는 본 발명의 제7의 실시예인 플라즈마처리장치를 나타낸 구성도.
제16도는 본 빌명의 제8의 실시예인 플라즈마처리장치를 나타낸 구성도.
제17도는 제16도의 장치에 의한 가속전압의 인가 패턴도.
제18도는 본 발명의 제9의 실시예인 플라즈마처리장치를 나타낸 구성도.
제19도는 본 발명의 제10의 실시예인 플라즈마처리장치를 나타낸도이다.
* 도면의 주요부분에 대한 부호의 설명
1, 37 : 방전관 2, 31 : 가스도입부
3, 32 : 배기부 4, 30 : 진공처리용기
5, 11 : 전극 5a : 시료대
6, 6a : 웨이퍼 7, 35 : 방전공간
8 : 마그네트론 9 : 도파관
10, 38 : 코일 12, 22 : 매칭박스
13, 23, 13a, 23a, 39 : 고주파전원 14 : 저주파통과필터
15 : 직류전원 16, 24 : 출력전압제어장치
17, 27 : 출력파형제어장치 18 : 질량흐름제어기
19 : 포토레지스트 20 : 폴리실리콘
21 : Si기판 25 : 합성기
26 : 교류파형발생기 28 : 파형
29 : 그리드전극 33 : 하부전극
34 : 상부전극 36 : 콘덴서
본 발명은 플라즈마처리방법 및 장치에 관한 것으로, 특히 에칭과 퇴적을 교대로 행하여 처리를 행하는데 적합한 플라즈마처리방법 및 장치에 관한 것이다.
반도체소자의 미세화가 진행되어감에 따라 회로패턴의 치수가공정밀도 저손상(low damage)가공법이 더욱 중요한 기술과제로 되고 있다. 특히 서브미크론(submicron)영역의 소자에 있어서는, 칩면적의 제약때문에 소자구조가 입체화되어 가고 있다. 이때문에 가공치수폭에 비하여 가공깊이 즉 아스팩트비(aspectratio)가 큰 박막(film)을 치수정밀도가 양호하게 가공해야할 것이 요구되고 있다.
이와 같은 요구를 해결하기 위한 종래 기술로서는 일본국 공개특허공보 소 60-50923호 공보에 기재된 것과 같은 것이 있다. 이것은 Si 또는 폴리-Si의 에칭의 경우에 있어서, 에칭가스로서 에칭작용에 기여하는 F6가스와 질화규소의 보호막의 형성작용에 기여하는 N2가스와 기타의 가스를 혼합하여 사용하고 에칭처리중에 처리가스의 조성, 농도를 주기적으로 변화시킨다. 이에 의하여 에칭공정과 질화규소의 보호막을 형성하는 공정을 교대로 반복하여 고속이고 또 치수정밀도가 좋은 에칭을 행하도록 한 것이다.
그러나 상기 종래기술은 플라즈마의 가스조성이나 농도를 변화시켜 에칭처리를 행하도록 하고 있기 때문에 그때마다 플라즈마의 상태가 변화하게 된다.
이때문에 가스조성 및 농도를 변화시켰을 경우 전회의 플라즈마상태로부터 새로운 플라즈마상태로 하는 즉, 잔존하는 이온 및 래디칼(radical)을 신속하게 배기할 필요가 있다. 그러나 처리용기에는 어느정도의 내용적이 있기 때문에 플라즈마상태의 절환에 시간이 걸리고 전체의 처리시간이 길어진다는 문제가 있다.
또 이것을 조금이라도 개선하려고하면 배기시간을 단축하기 위하여 배기장치가 대형화가 된다. 또 동시에 처리시와 교체시의 배기량을 각가 제어할 필요가 생기고 이를 위한 장치 및 제어기술이 복합하게 되어버린다.
한편, 전극에 인가하는 전압을 변화시키는 것으로서는 일본국 공고특허공보 소 61-41132호, 일본국 공개특허공보 소 61-135625호 등을 들수 있다. 이들 종래 기술은 시료에 인가되는 전압을 변화시켜 플라즈마처리를 행하도록 하고 있다. 이것들은 플라즈마중의 이온의 입사에너지를 제어하고 단지 에칭율 및 선택비등의 플라즈마 특성을 향상시키는 것이다.
본 발명의 목적은 처리가스의 교체절환을 행하지 않고 에칭공정과 성막(成膜)공정을 교대로 행하게하여 플라즈마처리시간을 단축할 수 있는 플라즈마처리방법 및 장치를 제공하는데 있다.
본 발명자들은 여러가지 실험을 거듭하므로써 비로서 본 발명에 관한 결과를 얻었다. 그 내용은 다음과 같다.
어떤 종류의 성분가스를 소정의 플라즈마조건하에서 플라즈마화한다. 이 플라즈마중의 이온에 가속전압을 부여하고 그 이온을 시료에 입사시키도록만 플라즈마를 사용하여 시료를 처리한다. 이때 이 가속전압의 값을 변화시키면 에칭작용이 우위(優位)에 생기는 전위와 퇴적작용이 우위에 생기는 전위가 있다는 것을 알게 되었다. 또 이 전위에는 에칭작용과 퇴적작용이 균형을 이루는 전위가 있음을 알았다.
이하 이 균형전위를「임계전위」라 부른다. 즉, 본 발명은 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 수단과, 시료에 향하여 플라즈마중의 이온을 가속시키는 가속전위를 임계전위를 사이에두고 변화시키는 수단을 가지는 장치로 하고, 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 공정과, 시료에 향하여 플라즈마중의 이온을 가속시키는 공정등을 가지는 방법으로 하므로서 처리가스를 교체하는 일없이 에칭공정과 성막공정을 교대로 행하여 플라즈마 처리시간을 단축할 수가 있다.
이하 본 발명의 일실시예를 제1도 내지 제6도에 따라 설명한다.
제1도는 에칭장치로서 이경우 ECR방전을 이용한 마이크로파 플라즈마처리장치를 나타낸 것이다.
진공처리용기(4)의 상부개구부에는 석영으로 이루어진 방전관(1)이 설치되어 있다. 진공처리용기(4)의 하부에는 도시하지 않은 진공배기장치에 연결된 배기부(3)가 설치되어 있다. 진공처리용기(4)내에는 상부에 시료인 웨이퍼(6)를 올려놓은 시료대(5a)를 가지는 전극(5)이 설치되어 있다. 방전관(1)내의 시료대(5a)상부에는 방전공간(7)이 형성된다. 방전관(1)의 상부에는 방전관(1)을 포위하여 도파관(9)이 설치되어 있다. 도파관(9)의 단부에는 이경우 2.45GHz의 마이크로파를 발생하는 마그네트론(8)이 설치되어 있다.
방전관(1)의 외주부에는 도파관(9)을 거쳐 전자코일(10)이 설치되어 있다. 진공처리용기(4)의 측부에는 방전공간(7)이 에칭가스를 공급하기 위한 가스도입부(2)가 설치되어 있다. 가스도입부(2)에는 질량흐름제어기(mass flow controller)(18)를 거쳐 도시하지 않은 가스원이 연결되어 있다.
전극(5)의 외주에는 전극(5)과 절연되고 일단이 시료대(5a)의 주변근방에 위치하고 타단이 접지된 어어드전극(11)이 설치되어 있다. 전극(5)에는 매칭박스(12)를 거쳐 접속된 이경우 13.56MHz의 고주파를 발생하는 고주파전원(13)과, 저주파통과필터(14)를 거쳐 접속된 직류전원(15)이 접속되어 있다. 고주파전원(13) 및 직류전원(15)의 타단은 각각 접지되어 있다. 직류전원(15)에는 출력전압제어장치(16)가 접속되어 있고, 출력전압제어장치(16)에는 출력파형제어장치(17)가 접속되어 있다. 또한 매칭박스(12)는 이경우 콘덴서커프링(용량결합)으로 구성되어 있다. 저주파통과필터(14)는 고주파전원(13)으로부터의 고주파전압을 차단하는 것이다.
질량흐름제어기(18)는 도시하지 않은 가스원으로부터의 에칭가스를 소정유량으로 제어하여 에칭가스를 방전공간(7)내로 송입한다. 방전공간(7)내는 도시하지 않은 배기장치에 의하여 감압배기하여 소정압력으로 유지된다. 이경우 방전공간(7)내에 도입된 에칭가스를 플라즈마화하는 수단은 마그네트론(8)과 전자코일(10)으로 이루어진다. 방전공간(7)내의 에칭가스는 마그네트론(8)과 전자코일(10)에 의하여 가해지는 전자계의 작용에 의한 ECR방전에 의하여 플라즈마화 된다.
또 이경우 플라즈마중의 이온에 웨이퍼(6)에 입사되는 입사에너지를 부여하는 수단, 즉 이경우 시료대(5a)에 가속전압을 발생시키는 수단은 고주파전원(13)과 직류전원(15)으로 이루어진다. 웨이퍼(6)를 올려놓는 시료대(5a)에는 고주파전원(13)에 의한 고주파전압과 직류전원(15)에 의한 직류전압이 인가된다.
시료대(5a)에 용량결합으로 구성된 매칭박스(12)를 거쳐 고주파전압을 인가하므로서 시료대(5a)에는 고주파전압이 직류적으로 부유(浮遊)하여 부여되어 직류바이어스전압이 생긴다. 이 직류바이어스전압에 의하여 플라스마중의 이온이 시료대(5a)측, 즉 웨이퍼(6)측으로 흡입된다. 이때의 이온의 입사에너지에 의하여 웨이퍼(6)가 스패터 에칭된다. 또 시료대(5a)에 직류전압을 인가하므로서 시료대(5a)에 생긴 직류바이어스전압의 값이 조정된다. 이 직류바이어스전압이 이경우 이온을 가속시키는 가속전압이 된다.
또한, 이경우 시료대(5a)에 발생되는 가속전압의 값을 임계전위를 사이에두고 절환하는 수단은 출력전압제어장치(16)와 출력파형제어장치(17)로 이루어진다. 출력전압제어장치(16)는 직류전원(15)의 직류전압치를 제어한다. 출력파형제어장치(17)는 출력전압제어장치(16)가 제어하는 직류전압치를 변화시켜 타이밍을 제어한다. 이 타이밍은 이경우 주기적으로 제어된다.
또, 웨이퍼(6)는 이경우 Si기판상에 배선성형재료인 폴리실리콘층을 피착(被着)성형한 것이다.
본 에칭장치는 에칭가스로서 이경우 설퍼헥사플로라이드(SF6)와 트리클로로트리플로로에탄(C2Cl3F3)(상품명 "프론-113")과의 혼합가스를 사용하여 웨이퍼(6)의 폴리실리콘층을 에칭하는 것이다.
다음에 상기와 같이 구성된 에칭장치에 의하여 상기 에칭가스의 양성분, 즉 SF6과 C2Cl3F3에 대하여 각각 동일한 플라즈마 형성조건하(마이크로파전력 : 4000W, 가스유량 : 70SCCM, 압력 : 0.01Torr, 고주파전력 : 100W)에서 가스를 플라즈마화하고, 시료대(5a)애 인가하는 직류바이어스전압을 변화시킨 경우의 실험에 관하여 제2도에 따라 설명한다.
제2도의 그래프는 종축이 상방에 에칭속도를 취하고, 아래쪽에 퇴적속도를 취하여 종축에 직류바이어스전압을 취한다. 제2도로부터 명백한 바와 같이 SF6는 직류바이어스전압의 크기에 관계없이 항상 에칭현상을 이르키고 직류바이어스전압이 커질수록 에칭 속도도 커진다.
한편, C2Cl3F3는 직류바이어스전압이 작은 범위에서는 퇴적현상을 일으키고 직류바이어스전압이 큰범위에서는 에칭현상을 일으키고 있다. 또 C2Cl3F3는 그 경계에서 정확하게 퇴적도 에칭도 발생하지 않는 임계전위(V0)를 가지고 있음을 알수 있다.
또한, 이 임계전위라함은 소정의 플라즈마 조건하에서 가스를 플라즈마화하고, 직류바이어스전압을 변화시켰을 경우에 퇴적현상과 에칭현상이 역전하는 전위를 의미하며 본 발명에 의한 실험에 의하여 처음으로 발견된 것이다. 이것은 다음과 같은 사항을 나타내고 있다.
C2Cl3F3를 플라즈마화 했을때에는 퇴적작용과 에칭작용이 함께 발생하고 있다. 이때 시료대(5a)에 인가하는 직류바이어스전압이 임계전위보다 작은 경우에는 퇴적작용이 우위로 작용한다. 또 시료대(5a)에 인가하는 직류바이어스전압을 임계전위보다 작은 전위내에서 증대시켰을 경우에는 직류바이어스전압의 증대에따라 플라즈마중의 이온이 가속되어 에칭작용이 서서히 강해져 퇴적작용이 우위성이 서서히 감쇠한다. 직류바이어스전압이 임계전위를 넘어 증대하는 경우에는 플라즈마중의 이온이 더욱 가속되어 퇴적작용보다 에칭작용이 우위로 작용하고 그 에칭작용은 점차 강해진다.
또, 직류바이어스전압이 임계전위와 같은 경우에는 퇴적작용과 에칭작용이 균형을 이룬 상태가 된다. 또, 상기의 임계전위를 가지지 않는 SF6와 임계전위를 가지는 C2Cl3F3와의 혼합가스(1 : 9)를 사용하여 동일한 실험을 행하였다. 이 결과는 제2도의 파선으로 나타낸 바와 같은 곡선이 되었다.
이 파선의 곡선으로부터 명백한 바와 같이 이 혼합가스에는 임계전위(V0')가 존재하고, 임계전위(V0')보다 작은 직류바이어스전압에서는 퇴적작용이 위위로 발생하고 임계전위(V0')보다 큰 직류바이어스전압에서는 에칭작용이 우위로 발생하고 있다. 또한 이 혼합가스를 사용한 경우에는 상기 C2Cl3F3를 단독으로 사용했을때 보다 에칭속도가 직류바이어스전압에 크게 의존하고 있음을 알수 있다. 이에 의하여 이 혼합가스를 에칭속도의 바이어스전압과 의존성이 높은 부식제(etchant)로서 이용할 수 있음을 알았다.
다음에 이와같은 특성을 가진 혼합가스를 사용하여 에칭처리를 행하는 경우에 관하여 제2도 내지 제6도에 따라 설명한다.
먼저, 혼합가스를 임계전위(V0')보다도 큰 바이이스전압치(V1')에서 아스팩트비가 높은 폴리실리콘막을 에칭했을때는 제4도에 나타낸 바와 같이 언더커트(under cut)(c)가 커져 치수정밀도를 확보할 수가 없다.
여기서 19는 포토레지스트이고, 20은 폴리실리콘이고, 21은 Si기판이다.
따라서, 제3도에 나타낸 바와 같이 직류적으로 부유(float)한 고주파전압에 중첩되는 직류전압을 출력전압제어장치(16)와 출력파형제어장치(17)에 의하여 제어하여 직류바이어스전압을 t1초 동안은 혼합가스의 임계전위(V0')보다 큰 V1(부전위)로 하고 t2초 동안은 임계전위(V0')보다 작은 V2(부전위)로 하여 주기적으로 변화시키도록 하였다.
시간 t1초 동안은 직류바이어스전압치가 크기 때문에 플라즈마중의 이온을 웨이퍼(6)측으로 가속되면서 에칭을 할수가 있다. 이에 의하여 비교적 이방성인 에칭을 행할 수가 있다. 그러나 자유라디칼(freeradical)의 영향도 있어 제5도에 나타낸 바와 같이 약간의 언더커트(Co)가 발생한다. 이 언더커트(Co)의 크기는 수직방향의 에칭량(α)의 약 1/5∼1/10이었다. 시간 t1은 언더커트(Co)가 허용치를 초과하지 않는 범위내로 설정한다.
시간 t2초 동안은 직류바이어스전압치가 임계전위(Vo')보다 작으므로 퇴적을 생기게할 수가 있다. 이에 의하여 에칭의 진행은 정지되고 웨이퍼(6)전면에 플라즈마 중합물이 퇴적을 개시하여 폴리실리콘(20)의 패턴측벽면에 보호막이 형성된다.
보호막이 형성된후에 다시 큰 직류바이어스전압(V1)을 시료대(5a)에 가하여 에칭을 행한다. 이 큰 직류바이어스전압(V1)에 의하여 가속된 플라즈마중의 이온은 웨이퍼(6)에 대하여 수직으로 입사한다.
이에 의하여 포토레지스트(19)에 의하여 형성될 폴리실리콘(20)의 패턴저부에 퇴적된 보호막은 이온의 스패터작용에 의하여 신속하게 제거되고, 폴리실리콘(20)의 패턴저부가 노출되어 에칭이 진행된다. 또 폴리실리콘(20)의 패턴측벽면에 퇴적된 보호막은 물리적에너지의 극히 작은 자유라디칼의 공격(attack)을 받아 자유라디칼과 보호막의 조성성분과의 화학반응에 의하여 서서히 제거된다. 여기서 보호막을 퇴적시키는 시간(t2)은 시간(t1)동안 에칭작용이 행해져도 폴리실리콘(20)의 패턴측벽면에 퇴적한 보호막이 남아있도록 설정한다.
또한 이와 같이 설명한 시간 t1, t2는 미리 출력파형제어장치(17)에 기억시켜두어 자동적으로 절환되도록되어 있다.
이와 같이 하여 에칭공정과 퇴적공정 즉 성막(成膜) 공정을 교대로 반복하므로서 제6도에 나타낸 바와같은 고아스팩트비의 폴리실리콘막을 치수정밀도가 좋게 가공하는 것이 가능하게 되었다.
또한 제2도에 나타낸 바와 같이 큰 직류바이어스전압(V1)의 값은 시료에의 이온의 전하상승(charge up)을 방지하기 위하여 고주파전압의 전진폭치(Vpp)의 1/2보다 작게하고 있다. 이것은 높은 에칭속도를 얻고 또한 시료에 형성된 소자에 손상을 주지않고 에칭을 행하기 위해서는 고주파전압에 중첩되는 직류전압의 크기에 제약이 있기 때문이다.
즉, 직류바이어스전압치(부전압)을 고주파전압의 전진폭치(Vpp)의 1/2보다 크게하면, 시료는 항상부의 전위가 되어 시료표면에 정(+)이온만이 흡인되어 대전(帶電)된다. 이에 의하여 결국에는 플라즈마중의 정이온(반응성 이온)이 반발하여 시료에 도달하지 않게된다. 그 결과 시료의 에칭속도가 현저하게 저하하게 된다.
또 이때의 대전전위가 크면, 시료에 형성된 소자의 게이트부의 열화 및 파괴를 일으키는 원인이 되기 때문이다. 그러므로 본 실시예에서는 고주파전압의 전진폭치(Vpp)의 1/2보다 작은 부의 직류전압을 시료대(5a)에 인가하고 고주파전압의 파형의 일부가 정(+)전위가 되어 남도록 하고, 이 정전위부분에서 플라즈마중의 전자를 흡인하여 시료에 대전한 정이온을 중화시키도록 하고 있다.
또한, 이 전하상승의 문제를 해결하기 위해서는 일본극 공고특허공보 소 56-37311호에 상세히 기술되어있는 바와 같이 고주파전압의 발진주파수를 약 100KHz이상으로 할 필요가 있다.
발진주파수의 상한에 관해서는 특별한 제약은 없으나, 일반적으로 시판되고 있는 발진기를 이용한다고 하면, 27MHz정도까지의 발진주파수가 적절하다.
이상 본 제1실시예에 의하면 고주파전원(13)에 의하여 전극(5)에 고주파전압을 인가하고 이것에 의하여 시료대(5a)에 발생한 직류바이어스전압에 직류전원(15)으로부터의 직류전압을 중첩하고 이 직류전압을 중첩시킨 직류바이어스전압을 출력전압제어장치(16) 및 출력파형제어장치(17)에 의하여 임계전위를 사이에두고 변화시키므로서, 방전공간부(7)에 발생시킨 플라즈마를 변화시키는 일이 없이, 즉 가스를 교체하여 공급하는 일이 없이 웨이퍼(6)에 대하여 에칭과 퇴적 즉 성막을 교대로 행할 수가 있기 때문에 가스의 종류를 절환하여 에칭과 퇴적을 교대로 행하여 시료를 에칭하는 종래의 기술에 비하여 가스를 바꾸어넣는 시간이 없어지고 적어도 가스를 바꾸어 넣는 시간이 없어진분만큼 처리시간이 단축된다는 효과가 있다. 예를들면 본 제1실시예와 동일한 정도의 용적(20,000cm3)의 진공처리용기에서, 배기용량 500ℓ/sec의 배기창치를 사용하여 진공처리용기내에 70SCCM의 가스를 공급하고 압력을 0.01Torr로 유지시킬 상태로부터 가스의 종류를 절환하여 상기와 같은 상태로 하는데 까지의 시간은 대략 10초가 필요하며 가스의 종류릍 교체하는 회수가 많아짐에 따라 상기 효과는 커진다.
또 다시 말하면, 종래와 같이 가스의 절환교체를 고속으로 행할 필요가 없으므로 배기장치를 소형화할 수가 있다. 또 가스의 종류를 절환교체에 의한 압력제어 및 절환(교체)제어가 없어지므로 장치 및 제어기술이 간단해진다.
또, 출력전압제어장치(16)를 제어하여 임계전위보다 큰 직류바이어스전압을 시료대(5a)에 가하므로서 웨이퍼(6)는 에칭되고, 또 임계전위보다 작은 직류바이어스전압을 시료대(5a)에 가하므로서 웨이퍼(6)에 보호막을 퇴적시킬 수가 있고 또한 출력파형제어장치(7)를 제어하여 임계전위를 사이에두고 직류바이어스전압의 값을 변화시키는 타이밍을 교대로 절환하기 때문에 웨이퍼(6)의 에칭측면은 보호막으로 보호하면서 단계적으로 에칭할 수 있어 패턴치수폭에 비하여 깊이 혹은 높이가 높은 피에칭재를 가공할 수가 있다.
또, ECR방전을 이용한 마이크로파 플라즈마에 의한 처리를 하고 있기 때문에 10-2Torr대의 낮은 압력하에서 플라즈마를 발생시킬 수가 있고 플라즈마중의 이온을 작은 가속전압으로 웨이퍼(6)에 끌어들일 수가 있으므로 손상이 적은 이방성 에칭을 할수 있어 미세한 패턴의 피에칭재를 가공할 수가 있다.
이와 같이 상기 효과와 더불어 미세패턴이고 또한 아스팩트비가 큰 피에칭재를 가공할 수가 있다.
또한 출력파형제어장치에 의하여 에칭작용과 퇴적작용의 절환시기를 에칭시에는 피에칭재의 언더커트가 허용치내에 들어갈 수 있도록 시간설정을 하고, 퇴적시에는 다음의 에칭처리를 행하는 동안 에칭측면의 보호막이 남을 정도의 막두께를 성막할 수 있는 시간으로 하고 있으므로 치수정밀도가 좋은 가공을 행할 수가 있다.
또 전자계의 작용을 이용한 플라즈마 발생수단과, 고주파전압 및 직류전압에 의하여 가해지는 직류바이어스전압부여수단, 즉 가속전압부여수단과는 독립되어 있기 때문에 직류바이어스전압을 변화시켜도 플라즈마의 발생상태, 즉 플라즈마중의 전자, 이온 및 자유라디칼의 상태는 변화하지 않고 발생강도가 안정된 상태에서 에칭을 행할 수가 있어 발광분광법에 의한 에칭의 종점판정이 용이하게 행할 수 있다. 또 시료대(5a)에 고주파전원(13)을 접속하고 고주파전압을 인가하여 전류전원(15)의 직류전압을 제어하여 직류바이어스전압을 고주파전원(13)으로부터 발생되는 고주파전압의 전진폭의 1/2이하로 하고 있기 때문에 절연재 또는 절연막을 가진 시료이더라도 시료에 전하가 축적되지 않아 에칭속도의 저하 및 소자의 게이트부의 열화 또는 파괴가 없는 에칭을 행할 수가 있다. 또 본 제1실시예의 에칭방법에 의하여 하층에 MOS게이트를 가지는 소자 구조의 시료를 에칭했을 경우에도, 게이트부의 내압열화 및 파괴라고 하는 손상은 발생하지 않았다.
또한 본 제1실시예와 같이 마이크로파를 이용한 ECR방식으로 방전시키는 마이크로파 플라즈마처리장치에 있어서는 일반적으로 이온시이드(sheath)폭은 0.1mm정도이다. 이 이온시이드를 이온이 통과하는데 요하는 시간(ti)은, 이온의 종류에 따라 다소다르나, 일반적으로 1∼4×10-7초 정도이다.
이것에 대하여 공업용으로서 통상적으로 사용되고 있는 13.56MHz의 고주파의 전압파형의 반사이클시간(tRE)은 3. 7×10-8초이다. 이때문에 13.56MHz의 고주파전압에 있어서는 이온은 이온시이드를 통과하여 흐를 수가 없다. 따라서 본 제1실시예와 같이 부(-)의 직류바이어스전압을 발생시키므로서 이온을 가속시킬수가 있다.
이와 같은 직류바이어스전압을 이용하는 방법은 특히 Si 및 금속막과 같이 전극(5)과 도통이되는 재료를 처리하는 경우에 유효하다. 또 이와 같은 직류바이어스전압을 이용하는 방법이 유효해지는 것은 고주파의 전압파형의 반사이클시간(tRF)과 이온의 이온시이드 통과시간(ti)이
tRF<ti
의 관계에 있는 경우이므로 고주파전원(13)의 주파수의 하한은 12MHz(tRF=2.5×10-7초)정도이고, 이보다 주파수가 낮으면 교류전압파형에도 이온이 추종하여 가속되므로 직류전원(15)에 의하여 전극(5)에 직류전압을 중첩시켜 인가하는 효과가 없어져 버린다.
또한 시료가 도전성의 것인 경우에는 본 제1실시예의 고주파전원(13)를 제거하고, 직류전원(15)만으로 제어하는 처리장치로 해도 좋다.
다음에 본 발명의 제2의 실시예를 제7도 및 제8도에 따라 설명한다.
제7도에 있어서 제1도와 동일부호의 것은 동일부재를 나타낸다. 이 도면이 제1도와 다른 점은 가속전압부여수단으로서 이경우 주파수 385KHz의 고주파전원(23)만을 사용하고 있는 점이다. 고주파전원(23)은 매칭박스(22)를 거쳐 전극(5)에 접속되어 있다. 매칭박스(22)는 이경우 회로의 일단이 접지되어 있어, 전극(5)이 직류적으로 접지전위가 되게 되어있다. 고주파전원(23)에는 출력전압제어수단(24)이 접속되어 있다. 출력전압제어수단(24)은 고주파전원(23)으로부터 출력되는 고주파전압의 파형을 제8도에 나타낸 바와 같이 시간 t3동안은 고주파전압의 전진폭을 V3가 되도록 제어하고, 다음의 시간 t4동안은 고주파전압의 전진폭을 V4가 되도록 제어한다.
상기와 같이 구성한 플라즈마처리장치, 이경우 에칭장치에 의하여 Si기판상에 50nm정도의 얇은 산화막을 거쳐 폴리실리콘, 포토레지스트를 순차적으로 적층시킨 구성의 웨이퍼(6a)에 대하여 상기 제1실시예와 동일한 조건에서 폴리실리콘의 에칭속도와 고주파전원(23)의 출력전압, 즉 가속전압과의 관계를 조사하였던바 상기 제2도와 동일한 경향이 생겼다.
즉, 고주파전원(23)에 의한 주파수를 2MHz이하(이경우 385KHz)의 고주파전압을 시료대(5a)에 인가하고, 교류전압파형에 이온이 충분히 추종할 수 있도록 하고 교류전압의 세기, 즉 교류전압의 전진폭을 변화시키므로서, 상기 제1실시예와 마찬가지로 에칭작용이 우위로 작용하거나 퇴적작용이 우위로 작용하거나, 또 에칭과 퇴적과의 어느쪽도 진행하지 않는 상태가 나타났다.
따라서 출력전압제어수단(24)에 의하여 고주파전원(23)의 출력전압을 제8도에 나타낸 바와 같이 제어하고, 시간 t3동안은 임계전위보다도 큰 고주파전압(V3)을 전극(5)에 인가하여 폴리실리콘의 에칭을 행한다.
다음에 시간 t4동안은 임계전위보다 작은 고주파전압(V4)을 전극(5)에 인가하여 웨이퍼(6a)표면(에칭측면을 포함)에 보호막을 형성한다.
이 양공정을 순차 반복하므로서 상기 제1실시예와 마찬가지로 고아스팩트비의 피에칭재를 치수정밀도 좋게 가공할 수가 있다. 또한 에칭시간(t3) 및 퇴적시간(T4)은 상기 제1실시예에서 설명한 에칭시간(t1) 및퇴적시간(t2)과 동일하게 설정하면 좋다.
이상, 본 제2의 실시예에 의하면, 주파수 2MHz이하의 고주파전압의 출력전압을 임계전위를 사이에 두고 변화시키므로서, 가스를 절환하여 공급하는일 없이 에칭공정과 퇴적공정을 교대로 행할 수가 있기 때문에 상기 제1실시예와 마찬가지로 처리시간을 단축할 수가 있다는 효과가 있다.
또 상기 제1실시예와 마찬가지로 마이크로파 플라즈마에 의한 처리로 하여 출력전압제어수단(24)에 의하여 에칭시와 퇴적시와의 시간을 제어하여 웨이퍼(6a)의 에칭측면을 보호막으로 보호하면서 단계적으로 에칭을 하기 때문에 미세패턴이고, 또한 패턴치수에 비하여 깊이 혹은 높이가 큰 피에칭막을 치수정밀도가 좋게 가공할 수가 있다.
또, 상기 제1실시예와 마찬가지로 플라즈마의 발생상태가 변화하지 않고 발생강도가 안정된 상태에서 에칭이 행해지기 때문에 에칭의 종점판정이 용이하게 행해질 수 있다. 또한 이온을 가속시키는 전압을 주파수 2MHz이하의 고주파전압의 부(-)전압영역에서 가하고 있기 때문에, 부의 전압영역에서 가속되어 웨이퍼(6a)의 표면에 끌어당겨져 웨이퍼(6a)에 대전된 이온은 다음의 정(+)의 전압영역에 있어서 웨이퍼(6a)표면에 끌어당겨진 전자에 의하여 중화될 수가 있으므로 웨이퍼(6a)에 형성된 절연재의 절연파괴등을 방지할수가 있다.
따라서 SiO2또는 Si3N4와 같은 절연재를 가지는 시료를 에칭처리하는데 적합하다. 또한 이경우 전극(5)-웨이퍼(6a)-플라즈마 사이에서 일종의 콘덴서가 형성되기 때문에 고주파전압의 주파수가 너무 낮으면, 웨이퍼(6a)에 전하가 과잉으로 축적되어 이온의 가속이 억제되고, 에칭속도가 현저하게 저하하는 소위전하상승(charge up)현상이 발생한다. 이 전하상승 현상을 방지하는 주파수의 한계는 절연막의 종류와 막두께에 따라 좌우되나, 반도체장치인 경우의 실용치는 일본국공고 특허공보 소 56-37311호에 기술되어 있는 바와 같이 100KHz정도이다.
다음에 븐 발명의 체3의 실시예를 제9도 및 게10도에 따라 설명한다
제9도에 있어서, 제7도와 동일부호의 것은 동일부재를 나타낸다. 제9도에서 제7도와 다른점은 가속전압부여수단으로서 이경우 주파수 385KHz의 고주파전원(23)과 교류파형발생기(26)를 사용하고 있는 점이다.
고주파전원(23)은 합성기(25)를 거쳐 전극(5)에 접속되어 있다. 합성기(25)에는 또 교류파형발생기(26)가 접속되어 있다. 교류파형발생기(26)에는 출력파형제어수단(27)이 접지되어 있다.
합성기(25)는 고주파전원(23)으로부터 출력되는 고주파전압의 파형을 제10도에 나타낸 바와 같이 교류파형발생기(26)로부터 출력된 파형(28)에 따라 변화시킨다. 출력파형제어수단(27)은 교류파형발생기(26)로부터 출력하는 파형(28)의 주기 및 진폭을 조정한다.
상기와 같이 구성한 플라즈마처리장치, 이경우 에칭장치에 의하여 상기 제2의 실시예와 동일한 조건에서 에칭처리를 행하면, 상기 제2의 실시예와 마찬가지로 에칭공정과 퇴적공정을 교대로 반복하면서 단계적으로 에칭처리를 행할 수가 있다.
즉 제10도에 나타낸 바와 같이 임계전압(V0')보다 큰 부의 전압파형일때의 시간 t5동안은 애칭작용이 우위로 발생하고, 임계전위(t5)보다 작은 부의 전압파형일때의 시간 t6동안은 퇴적작용이 우위로 발생한다. 또한 에칭이 발생하는 시간(t5) 및 퇴적이 발생하는 시간(t6)을 조정하는 경우에는 출력파형제어수단(27)에 의하여 교류파형발생기(26)으로부터 출력되는 파형(28)의 주기를 변화시키므로서 간단하게 행해진다.
또, 에칭공정시의 에칭속도를 빠르게하는 경우에는 출력파형제어수단(27)에 의하여 교류파형발생기(26)로부터 출력하는 파형(28)의 진폭을 변화시키므로서 간단하게 행해진다. 단 정확하게 에칭속도, 에칭시간 및 퇴적시간을 측청하려면, 출력파형제어수단(27)에 의하여 파형(28)의 진폭 및 주기를 조정할 필요가 있다.
이상 본 제3실시예에 의하면 상기 제2의 실시예와 동일한 효과를 얻을 수가 있다.
또한 본 제3의 실시예의 경우 에칭과 퇴적과의 절환은 서서히 진행한다.
다음에 본 발명의 제4의 실시예를 제11도 및 제12도에 따라서 설명한다.
제1l도에 있어서 제1도와 동일부호의 것인 동일부재를 나타낸다. 제11도가 제1도와 다른 점은 시료대(5a)가 접지되어 있는 점과 웨이퍼(6)와 방전공간(7)과의 사이에 그리드전극(29)이 설치되어 있는 점이다.
그리드전극(29)에는 직류전원(15)이 접속되어 있고, 직류전원(15)에는출력전압제어장치(16)를 접속하고, 출력전압제어장치(16)에는 출력파형제어장치(17)가 접속되어 있다. 이경우 이온을 웨이퍼(6)의 방향으로 가속시키는 수단은 그리드전극(29)에 부의 직류전압을 인가하는 직류전원(15)이다. 직류전원(15)으로부터 출력되는 부의 직류전압, 즉 가속전압을 임계전위를 사이에두고 변화시키는 수단은 출력전압제어장치(16)와 출력파형제어장치(17)로 이루어지고, 이들의 제어는 상기 제1실시예와 같고, 제12도에 나타낸 바와 같이 시간 t1동안은 전압 V1으로 제어하고, 시간 t2동안은 전압 V2로 제어한다.
상기와 같이 구성한 플라즈마처리장치, 이경우 에칭장치에 의하여 상기 제1실시예와 동일한 조건에서 방전공간(7)내에 플라즈마를 발생시키고 직류전원(15)에 의하여 그리드전극(29)에 부의 직류전압을 인가한다. 이에 의하여 플라즈마중의 이온이 그리드전극(29)측으로 가속되고, 그리드전극(29)을 통과한 이온이 웨이퍼(6)에 도달하여 웨이퍼(6)의 피에칭재를 에칭한다.
이때, 출력전압제어장치(16)와 출력파형제어장치(17)에 의하여 임계전위보다 큰 가속전압(V1)을 직류전원(15)으로부터 시간 t1초 동안 출력시킨다. 이에 의하여 시간 t1초 동안은 에칭작용이 우위로 발생하여 웨이퍼(6)가 에칭된다. 다음에 임계전위보다 작은 가속전압(V2)을 직류전원(15)으로부터 시간 t2초 동안 출력시킨다. 이에 의하여 시간 t2초 동안은 퇴적작용이 우위로 발생하여 웨이퍼(6)의 표면(에칭측면도 포함)에 보호막이 형성된다.
이들 공정을 순차적으로 반복하므로서 상기 제1실시예와 같이 웨이퍼(6)의 피에칭재를 단계으로 에칭할 수가 있다.
이상 본 제4실시예에 의하면 그리드전극(29)에 가속전압을 인가하고, 가속전압을 임계전위를 사이에두고 변화시키므로서 가스를 절환하여 공급하는일 없이 에칭공정과 퇴적공정을 교대로 행할 수가 있기 때문에 상기 제1실시예와 마찬가지로 처리시간을 단축할 수가 있다는 효과가 있다.
또 상기 제1실시예와 마찬가지로 마이크로파 플라즈마에 의한 처리로하여 출력전압제어장치(16) 및 출력파형제어장치(17)에 의하여 에칭공정시와 퇴적공정시와의 시간을 제어하여, 웨이퍼(6)의 에칭측면을 보호막으로 보호하면서 단계적으로 에칭하므로 미세패턴이고 또한 패턴치수폭에 비하여 깊이 혹은 높이가 높은 피에칭재를 치수정밀도가 좋게 가공할 수가 있다.
또 상기 제1실시예와 마찬가지로 플라즈마의 발생상태가 변화하지 않고 발생강도가 안정된 상태에서 에칭을 행할수가 있으므로 에칭의 종점판정이 용이하게 할수 있다.
또한, 본 제4의 실시예에서는 그리드전원(29)으로 직류전압을 인가하고 있으므로 시료는 도전성이 있는것이어야만 되나, 그리드전극(29)에 상기 제1, 제2도 또는 제3의 실시예와 같은 전원을 접속하면 절연성인시료도 처리할 수가 있다.
다음에 본 발명의 제5의 실시예를 제13도에 따라 설명한다.
제13도에 있어서, 제1도와 동일부호는 동일부재를 나타낸다. 제13도가 제1도와 다른 점은 본 도면의 장치가 진공처리용기(30)중에 상부전극(34)과 하부전극(33)을 가지는 평행평판식의 RIE정치이고, 플라즈마발생수단으로서 평행평판형의 전극(33, 34)을 사용하고 전극(33)에 고주파전압(이경우 주파수 13.56MHz)을 인가하는 고주파전원(13a)을 접속하고 있는 점이다.
진공처리용기(30)의 측부에는 가스도입부(31)가 설치되어 있고 상기 제1실시예와 마찬가지로 도시하지 않은 가스원이 연결되어 있다. 진공처리용기(30)의 하부에는 도시하지 않은 진공배기장치에 연결된 배기부(32)가 설치되어 있다. 진공처리용기(30)내의 하부에는 상면에 웨이퍼(6)를 올려놓는 하부전극(33)에 대향시켜 배치한 상부전극(34)이 설치되어 있다. 상부전극(34)은 접지되어 있다. 하부전극(33)는 절연재를 거쳐 진공처리용기(30)에 고정되어 있고, 상부전극(34)에는 상기 제1실시예와 마찬가지로 매칭박스(12)를 거쳐 접속한 고주파전원(13a)과 저주파통과필터(4)를 거쳐 접속된 직류전원(15)이 연결되어 있다.
이상과 같이 구성된 플라즈마처리장치, 이경우 에칭장치에 의하여 상기 제1실시예와 마찬가지로 가스도입부(31)를 거쳐 하부전극(33)과 상부전극(34)과의 사이에 형성된 방전공간(35)에 에칭가스를 공급한다.
이와 동시에 도시하지 않은 진공배기장치에 의하여 진공처리용기(30)내를 소정의 압력으로 감압배기한다.
이 상태에 있어서 고주파전원(13a)에 의하여 하부전극(33)에 13.56MHz의 고주파전압을 인가한다. 이에 의하여 방전공간(35)부에 글로우방전이 발생하여 에칭가스가 플라즈마화 된다.
이 상태에서 이미 상기 제1실시예와 마찬가지로 플라즈마중의 이온을 웨이퍼(6)측으로 가속하는 직류바이어스전압이 하부전극(33)에 발생되어 있다. 이 직류바이어스진압을 직류전원(15)에 의하여 상기 제1실시예와 마찬가지로 임계전위를 사이에두고 변화시킨다. 이에 의하여 상기 제1실시예와 같이 에칭공정과 퇴적공정을 교대로 반복하여 웨이퍼(6)의 피에칭재를 단계적으로 에칭할 수 있다.
이상 본 제5의 실시예에 의하면, 직류전원(15)에 의하여 직류바이어스전압을 임계전위를 사이에두고 변화시키므로서 가스를 절환공급하는일 없이 에칭공정과 퇴적공정을 교대로 행할 수 있기 때문에 상기 제1실시예와 마찬가지로 처리시간을 단축할 수가 있다. 또 고주파전원(13a)을 사용하여 전극(33, 34)간의 방전공간(35)에 안정된 플라스마를 발생시킬 수가 있으므로 직류전원(15)에 의하여 직류바이어스를 변화시키더라도 프라즈마의 발생상태는 변하지 않으므로 상기 제1실시예와 마찬가지로 에칭의 종점판정이 용이하게 행해진다.
또, 상기 제1실시예와 마찬가지로 고아스팩트비의 피에칭재를 치수정밀도 좋게 가공할 수 있고 또 도전성재, 절연재에 구예받지 않고 가공할 수가 있다.
다음에 본 발명의 제6의 실시예를 제14도에 따라 설명한다.
제14도에 있어서, 제7도 및 제13도와 동일한 부호는 동일부재를 나타낸다. 이 도면이 제13도와 다른 점은 플라즈마를 발생시키는 수단으로 주파수 2MHz이하(이경우 358KHz)의 고주파전원(23a)을 사용하고 있는 점과 이온을 가속시키는 수단으로서 마찬가지로 주파수 2MHz이하의 고주파전원(23a)을 공용(共用)하고있는 점이다. 고주파전원(23a)은 제7도와 마찬가지로 매칭박스(22)를 거쳐 하부전극(33)에 접속되어 있다. 매칭박스(22)의 회로의 일단은 접지되어 있고 하부전극(33)이 직류적으로 접지전위가 되도록 되어 있다. 고주파전원(23a)에는 출력전압제어수단(24)이 접속되 있다. 출력전압제어수단(24)의 제어내용은 상기제2의 실시예와 같으며, 설명은 생략한다. 또 웨이퍼(6a)는 상기 제2의 실시예와 가이 절연재를 가지고 있다.
이상과 같이 구성된 플라즈마처리장치 이경우 에칭장치에 의하여 상기 제5의 실시예와 마찬가지로 방전공간(35)에 에칭가스를 공급하고 진공처리용기(30)내를 소정의 입력으로 감압배기한다. 이 상태애서 고주파전원(23a)에 의하여 하부전극(33)에 385KHz의 고주파전압을 인가하고 방전공간(35)부에 글로우방전을 발생시켜 에칭가스를 플라즈마화 시킨다.
이때 출력전압제어수단(24)에 의하여 제2의 실시예와 마찬가지로 고주파전원(23a)으로부터 출력되는 고주파전압을 임계전위를 사이에두고 변화시킨다.
이에 의하여 상기 제2의 실시예와 마찬가지로 에칭공정과 퇴적공정을 교대로 반복하여 웨이퍼(6a)의 피에칭재를 단계적으로 에칭할 수가 있다.
이상 본 제6의 실시예에 의하면 주파수 2MHz이하의 고주파전압을 임계전위를 사이에두고 변화시키고있기 때문에 에칭가스를 절환공급하는일 없이 에칭공정과 퇴적공정을 교대로 행할 수가 있어 상기 제2의실시예와 마찬가지로 처리시간을 단축할 수가 있다. 또, 상기 제2의 실시예와 마찬가지로 고아스팩트비의 피에칭재를 치수정밀도 좋게 가공할 수 있다. 또, 시료로서는 절연재를 가지는것에 적합하다.
또한 이 경우에는 플라즈마 발생수단이기도한 주파수 2MHz이하의 고주파전압을 변화시키고 있기 때문에 플라즈마의 발생상태가 에칭공정시와 퇴적공정시에서 다르다.
이때문에 발광분광법을 사용하여 에칭종점판정을 행할때에는 에칭이 되고 있을때의 발광강도만을 입력시켜 판정을 행할 필요가 있다.
다음에 본 발명의 제7의 실시예를 제15도에 따라 설명한다.
제15도에 있어서 제9도 및 제14도와 동일부호는 동일부재를 나타낸다. 제15도가 제14도와 다른점은 이온을 가속시키는 수단이기도한 2MHz이하(이경우 385KHz)인 고주파전원(23a)의 고주파전압을 임계전위를사이에두고 변화시키는 수단으로서 교류파형발생기(26)를 사용하고 있는 점이다.
고주파전원(23a)은 제9도와 마찬가지로 합성기(25)를 거쳐 하부전극(33)에 접속되어 있다. 합성기(25)에는 또 교류파형발생기(26)가 접속되어 있다. 교류파형발생기(26)에는 출력파형제어수단(27)이 접속되어있다. 합성기(25), 교류파형발생기(26) 및 출력파형제어수단(27)의 제어내용은 상기 제3의 실시예와 같으므로 설명은 생략한다.
이상과 같이 구성된 플라즈마처리장치, 이경우 에칭장치에 의하여 상기 제6의 실시예와 같이 방전공간(25)에 에칭가스를 공급하고 진공처리용기(30)내를 소정의 압력으로 감압배기한다. 이상태에서 상기 제3의 실시예와 같이 즉 제10도와 같이 제어된 고주파전압을 하부전극(33)에 인가하고, 방전공간(25)부에 글로우방전을 발생시켜 에칭가스를 플라즈마화 시킨다.
이에 의하여 고주파전압이 임계전위보다 클때는 웨이퍼(6a)에 대하여 에칭작용이 우위로 발생하고, 임계전위보다 작을때는 웨이퍼(6a)의 표면(에칭측면도 포함)에 보호막의 퇴적작용이 우이로 발생한다. 이 에칭공정과 퇴적공정이 교대로 행해져 웨이퍼(6a)의 피에칭재가 단계적으로 에칭된다.
이상 본 제7의 실시예에 의하면, 상기 제6의 실시예와 마찬가지로 에칭가스를 절환하여 공급하는 일이 없이, 에칭공정과 퇴적공정을 교대로 행하게 할 수가 있으므로 처리시간을 단축할 수가 있다. 또한 이경우는 플라즈마 발생수단인 고주파전압이 항상 변화하고 있기 때문에 플라스마의 발생상태가 일정치 않다. 이때문에 에칭처리의 조건설정이 어렵다는 문제가 있다.
다음에 본 발명의 제8의 실시예를 제16도 및 제17도에 따라 설명한다.
제16도에 있어서, 제13도와 동일부호는 동일부재를 나타낸다. 제16도가 제13도와 다른점은 플라즈마 발생수단이다. 이경우 13.56MHz의 고주파전원(13a)의 출력전압을 제어가능하게하여 이온을 임계전위를 사이에두고 변화시키는 수단을 겸용시키고 있는 점이다. 고주파전원(13a)은 콘덴서(36) 및 매칭박스(12)를 순차적으로 거쳐 하부전극(33)에 접속하고 있다. 고주파전원(13a)에는 출력전압제어수단(24)이 접속되어 있다. 출력전압제어수단(24)은 고주파전원(13a)으로부터 출력되는 고주파전압의 파형을 제17도에 나타낸 바와 같이 시간 t7동안은 직류성분의 바이어스전압이 V9가 되도록 고주파전압의 전진폭을 V7로 제어하고, 다음의 시간 t8동안은 직류성분의 바이어스전압이 V10이 되도록 고주파전압의 전진폭을 V8도 제어한다.
상기와 같이 구성한 플라즈마처리장치, 이경우 에칭장치에 의하여 상기 제5의 실시예와 같이 방전공간(35)에 에칭가스를 공급하고, 진공처리용기(30)내를 소정의 압력으로 강압배기한다. 이 상태에서 고주파전원(13a)에 의하여 하부전극(33)에 고주파전압을 인가한다. 이에 의하여 방전공간(35)부에서 에칭가스가 플라즈마화 된다. 이에, 제17도에 나타낸 바와 같이 출력전압제어수단(24)에 의하여 시간 t7동안은 고주파전원(13a)으로부터 출력하는 고주파전압의 전진폭을 V7로 제어한다. 이에 의하여 시료전극(33)에는 임계전위보다 큰 직류성분의 바이어스전압이 생겨 웨이퍼(6)의 피에칭재가 에칭되다. 다음의 시간 t8동안은 고주파전원(13a)으로부터 출력되는 고주파전압의 전진폭을 V8로 제어한다. 이에 의하여 시료전극(33)에는 임계전위보다 작은 직류성분의 바이어스전압이 생겨 웨이퍼(6)의 표면(에칭측면도 포함)에 보호막이 퇴적된다. 이 에칭공정과 퇴적공정을 교대로 행하므로서, 웨이퍼(6)의피에칭재가 단계적으로 에칭된다.
이상, 본 제8의 실시예에 의하면, 상기 제5의 실시예와 마찬가지로 에칭가스를 절환하여 공급하는일 없이 에칭공정과 퇴적공정을 교대로 행할 수가 있으므로 처리시간을 단축할 수가 있다.
또, 출력전압제어수단(24)에 의하여 고주파전원(13a)의 출력전압을 제어하여 시료전극(33)에 생기는 직류바이어스전압을 임계전위를 사이에두고 교대로 변화시키므로서, 에칭공정과 퇴적공정을 교대로 행할 수가 있으므로 상기 제5의 실시예와 마찬가지로 패턴치수폭에 비하여 깊이 혹은 높이가 높은 피에칭막을 치수정밀도 좋게 가공할 수가 있다. 또, 고주파전압을 제어해도 고주파전압의 일부는 정의 전압영역을 가지고 있으므로 상기 제5의 실시예와 마찬가지로 웨이퍼(6)에 전하가 축적되지 않아 에칭속도의 저하나 소자의 게이트부의 열화 또는 파괴가 없는 에칭을 해할 수가 있다.
또한, 이 경우는 상기 제6의 실시예와 마찬가지로 에칭공정시와 퇴적공정시에 플라즈마의 발생상태가 변화하므로 발광분광법을 사용하여 에칭 종점판정을 행할때에는 에칭이 생기고 있을때의 발광강도만을 입력시켜 판정할 필요가 있다.
다음에 본 발명이 제9의 실시예를 제18도에 따라 설명한다.
제18도에 있어서, 제11도 및 제13도와 동일부호는 동일부재를 나타낸다. 제18도가 제13도와 다른 점은 이온을 가속시키는 수단으로서 제11도와 마찬가지로 웨이퍼(6)와 방전공간(35)과의 사이에 그리드전극을 사용하고 있는 점이다. 그리드전극(29)에는 직류전원(15)이 접속되어 있고, 직류전원(15)에는 출력전압제어장치(16)를 접속하고 출력전압제어장치(16)에는 출력파형제어장치(17)가 접속되어 있다. 직류전원(15), 출력전압제어장치(16) 및 출력파형제어장치(17)의 제어내용은 상기 제4의 실시예와 같으므로 설명은 생략한다.
상기와 같이 구성된 플라즈마처리장치, 이경우 에칭장치에 의하여 상기 제5의 실시예와 마찬가지로 방전공간(35)에 에칭가스를 공급하고, 진공처리용기(30)내를 소정의 압력으로 감압배기한다. 이 상태에서 고주파전원(13a)에 의하여 하부전극(33)에 고주파전압을 인가한다. 이에 의하여 방전공간(35)에 글로우방전이 발생하여 에칭가스가 플라즈마화 된다.
이상태에서 상기 제4의 실시예와 같이 직류전원(15)에 의하여 그리드전극(29)에 부의 직류전압을 인가한다. 이에 의하여 플라즈마중의 이온이 그리드전극측으로 가속되고, 그리드전극(29)을 통과한 이온이 웨이퍼(6)에 도달하여 웨이퍼(6)의 피에칭재를 에칭한다.
이때, 상기 제4의 실시예와 같이 출력전압제어장치(16)와 출력파형제어장치(17)에 의하여 그리드전극(29)에 인가하는 가속전압을 임계전위를 사이에두고 변화시킨다. 이에 의하여 가속전압이 임계전위보다 클때는 웨이퍼(6)에 대하여 에칭작용이 우위로 생긴다. 가속전압이 임계전위보다 작을때는 웨이퍼(6)의 표면(에칭측면도 포함)에 보호막을 형성하는 퇴적작용이 우위로 생긴다. 이 에칭공정과 퇴적공정을 교대로 행하므로서 웨이퍼(6)의 피에칭재가 단계적로 에칭된다.
이상 본 제9의 실시예에 의하면 그리드전극(29)에 인가한 가속전압을 임계전위를 사이에두고 변화시키므로서 가스를 절환하여 공급하는일 없이 에칭공정과 퇴적공정을 교대로 행할 수가 있기 때문에 상기 제5의 실시예와 마찬가지로 처리시간을 단축할 수가 있다.
또, 상기 제5의 실시예와 마찬가지로 웨이퍼(6)의 에칭측면을 보호막으로 보호하면서 단계적으로 에칭할수 있으므로 패턴치수폭에 비하여 깊이 폭은 높이가 높은 피에칭재를 치수정밀도 좋게 가공할 수가 있다.
또 상기 제5의 실시예와 마찬가지로 플라즈마의 발생상태가 변화하지 않고 발생강도가 안정된 상태에서 에칭할 수 있으므로 에칭의 종점판정이 용이하게 행해진다.
다음에 본 발명의 제10의 실시예를 제19도에 따라 설명한다.
제19도에 있어서, 제13도와 동일부호는 동일부재를 나타낸다. 제19도가 제13도와 다른점은 플라즈마발생수단으로서 진공저리용기(30)외에 방전관(37)을 설치하고, 방전관(37)의 외주에 코일(38)을 설치하고 이 코일(38)에 고주파전원(39)을 접속한 구성으로 하고 있는 점이다. 하부전극(33)에는 제13도와 마찬가지로 고주파전원(13a)과 직류전원(15)에 의한 직류바이어스부여수단, 즉 이온의 가속수단이 설치되어있다. 이들 플라즈마발생수단과 직류바이어스부여수단은 각각 독립적으로 그 출력을 제어할 수가 있다. 고주파전원(39)은 예를들면 주파수 800KHz∼13.56MHz의 고주파전력을 출력하는 것이다. 직류바이어스부여수단의 제어내용은 상기 제5의 실시예와 같으므로 설명은 생략한다.
상기와 같이 구성한 플라즈마처리장치, 이경우 에칭장치에 의하여 도시하지 않은 가스원으로부터 가스도입부(31a)를 거쳐 방전관(37)내에 에칭가스를 공급하고, 도시하지 않은 배기장치에 의하여 진공처리용기(30) 내 및 방전관(37)내를 소정압력으로 감압배기한다.
이 상태에서 고주파전원(39)에 의하여 코일(38)에 고주파전압을 인가한다. 이에 의하여 방전관(37)내의 에칭가스가 플라즈마화되고, 플라즈마는 진공처리용기(30)의 공간(35a)부에 도입된다. 이때 매칭박스(12)를 거쳐 고주파전원(13a)에 의하여 하부전극(33)에 고주파전압을 인가한다. 이에 따라 하부전극(33)에 인가된 고주파전압은 상기 제5의 실시예와 같이 직류적으로 부유(浮遊)하여 직류바이어스전압을 가진다.
이 고주파전압과 함께 직류전원(15)에 의하여 하부전극(33)에 직류전압을 중첩시켜 직류바이어스전압을 제어한다. 직류바이어스전압을 출력전압제어장치(16)와 출력파형제어장치(17)에 의하여 상기 제5의 실시예와 같이 제어한다. 이에 의하여 에칭공정과 퇴적공정이 교대로 행해져 웨이퍼(6)의 피에칭재가 단계적으로 에칭된다.
이상 본 제10의 실시예에 의하면 상기 제5의 실시예와 동일한 효과를 얻을 수가 있다.
또, 본 제10의 실시예에 의하면 고주파전원(13a)의 고주파전압을 높이는 일없이 방전관(37)내에서 고밀도의 플라즈마를 발생할 수 있으므로 상기 제5의 실시예에 비하여 저손상이고 고속인 에칭을 행할 수가 있다.
이상 이들 제1 내지 제10의 실시예에 의거하여 본 발명을 설명했으나, 본 발명의 구성은 이들 실시예에 한정되는 것이 아니고 플라즈마발생수단과 가속전압부여수단 및 가속전압부여수단끼리는 여러가지로 조합할수 있음은 말할 것도 없다.
또 본 실시예에서는 폴리 실리콘의 에칭가스로서 임계전위를 갖지않는 SF6와 임계전위를 가지는 C2Cl3F3와의 혼합가스를 사용한 예를들었으나 임계전위를 가지는 성질의 가스이면, 기타의 조합이라도 좋다는것은 말할것도 없다.
예를들면 임계전위를 가지지않는 SF6과 임계전위를 가지는 C2Cl4F2(상품명 : 프론 114), CCl4또는 C4F8등과의 조합이나, SF6의 대신 NF3를 사용한 조합으로도 동일하게 행해진다.
또, 에칭가스의 혼합성분을 두가지로 한정하는 것은 아니고, 적어도 일성분이 임계전위를 가지는것이라면, 3성분 이상으로 이루어지는 것이라도 좋다. 또 전성분이 임계전위를 가지는 가스의 조합 또는 단독가스이더라도 좋다.
또 본 실시예에서는 폴리실리콘의 에칭의 예를 나타냈으나 Al배선막의 에칭에도 적용가능하다. 이경우 에칭가스로서는 임계전위를 가지지 않는 염소가스(Cl2)와 임계전위를 가지는 CCl4와의 혼합가스, 또한 피에칭재의 표면의 산화막을 고속에칭하기 위한 BCl3를 기한 3성분 혼합가스를 사용하면 좋다. 또 임계전위를 가지는 CCl4대신에 CF4, C2F6, C4F8또는 SiCl4등을 사용해도 좋다.
또한, 제2도에 있어서, 구체적 수치를 나타내지 아니했으나, 에칭속도 또는 퇴적속도 및 임계전위는 에칭가스의 종류, 가스압 및 플라즈마발생수딘의 출력등에 따라 상대적으로 결정되는 것이다.
또 본 실시예에서는 에칭처리중의 가속전압의 인가패턴은 동일하였으나 최종적인 에칭의 종료시에는 임계전위보다 큰 범위내에서 가속전압을 작게하는 제어를 행하므로서, 에칭손상을 더욱 감소시킬 수가 있다.
또 본 실시예에서는 가속전압을 임계전위를 사이에두고 변화시키는 시간을 미리 설정한 시간으로 자동적으로 절환하도록 하고 있으나, 각 단계에서의 에칭상태 및 퇴적상태를 검출하고 그 각각의 검출치가 소정의 설정치가된 시점에서 자동적으로 절환하도록해도 좋다. 또, 절환회수가 적은처리의 경우에는 수동으로 절환하도록 해도 좋다.
또한, 이들 가속전압을 실정하는 경우에는 가속전압을 검출하고 그 검출한 값을 표시시켜 조정하는 값을 보면서 소정의 값으로 설정하면 된다.
또한, 본 실시예에서는 에칭을 행하는 경우에 관하여 설명했으나 에칭공정과 퇴적공정 즉 성막공정과의 시간비율을 역전시켜 전체로 성막을 행하게하는 경우에도 적용이 가능하다. 이경우는 성막과 에칭을 교대로 행하므로서 평활한 막을 성막할 수가 있다.
이상 본 실시예에 의하면, 임계전위를 가지는 가스를 플라즈마화하고, 이온의 가속전압을 임계전위를 사이에 두고 변화시키므로서 가스의 절환을 행할 필요없이 에칭공정과 성막공정을 교대로 발생시킬 수가 있으므로 플라즈마처리시간을 단축할 수가 있다는 효과가 있다.

Claims (20)

  1. 임계전위를 가지는 가스를 저압하에서 플라즈마화하는 공정과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하여 그 이온을 시료에 입사 시키도록한 플라즈마를 사용하여 시료를 처리할때에 에칭작용과 퇴적작용이 균형을 이루는 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시키는 공정을 가지는 플라즈마처리방법.
  2. 제1항에 있어서, 상기 가속전압은 직류전압과 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간이내의 반사이클시간을 가지는 주파수의 고주파전압과의 합의 전압으로 가하는 플라즈마 처리방법.
  3. 제1항에 있어서, 상기 가속전압은 주파수 100KHz에서 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간 이상의 반사이클시간을 가지는 주파수의 고주파전압을 인가하는 플라즈마처리방법.
  4. 제1항에 있어서, 상기 가속전압은 저주파의 교류전압과 플라스마중의 이온이 이온시이드를 통과하는데 요하는 시간이내의 반사이클을 가지는 주파수의 고주파전압과의 합의 전압으로 가하는 플라즈마처리방법.
  5. 제1항에 있어서, 상기 가속전압은 직류전압으로 가하는 플라즈마처리방법.
  6. 제1항에 있어서, 상기 가속전압은 상기 시료의 시료대에 인가하는 전압, 또는 상기 시료와 상기 플라즈마와의 사이에 설치한 그리드전극에 인가하는 전압으로 이루어지는 플라즈마처리방법.
  7. 제1항에 있어서, 상기 가속전압은 상기 임계전위를 사이에두고 변화시킴과 동시에 이칭작용중에도 변화시키는 플라즈마처리방법.
  8. 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 공정과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하여 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 처리할때에 에칭작용과 퇴적작용이 평형을 이루는 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시켜 에칭작용과 퇴적작용을 교대로 행하여 깊이방향으로 단계적으로 행하는 공정을 가지는 플라즈마처리방법.
  9. 제8항에 있어서, 상기 에칭작용의 시간은 상기 시료에 생기는 언더커트가 허용치내에 들어가는 시간으로하고 상기 퇴적작용의 시간은 다음이 에칭처리를 행하는 동안 에칭측면의 보호막이 남을만큼의 막두께를 성막할 수 있는 시간으로 하는 플라즈마처리방법.
  10. 제8항에 있어서, 상기 시료가 폴리실리콘인 경우 상기 가스는 C2Cl3F3, C2Cl4F2, CCl4또는 C4F4중 어느 하나의 단독가스 그렇치않으면 SF6또는 NF3와의 혼합가스이고, 상기 시료가 알루미늄인 경우, 상기 가스는 CCl4, CF4, C2F6, C4F8또는 SiCl4중의 어느 하나의 단독가스 또는 Cl2와의 혼합가스인 플라즈마처리방법.
  11. 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 수단과, 상기 임계전위는 어느 종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하여 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 처리할때에, 에칭작용과 퇴적작용이 평형일때의 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시키는 수단을 가지는 플라즈마처리장치.
  12. 제11항에 있어서, 상기 가속전압은 직류전압과 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간 이내의 반사이클시간을 가지는 주파수의 고주파전원에 의하여 부여되는 플라즈마처리장치.
  13. 제11항에 있어서, 상기 가속전압은 주파수 100KHz에서 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간 이상의 반사이클시간을 가지는 주파수의 고주파전원에 의하여 부여되는 플라즈마처리장치.
  14. 제11항에 있어서, 상기 가속전압은 저주파의 교류전원과 플라즈마중의 이온이 이온시이드를 통과하는데 요하는 시간이내의 반사이클시간을 가지는 주파수의 고주파전원에 의하여 가해지는 플라즈마처리장치.
  15. 제11항에 있어서, 상기 가속전압은 직류전원에 의하여 부여되는 플라즈마처리장치.
  16. 제11항에 있어서, 상기 가속전압은 상기 시료의 시료대에 인가하는 전원, 또는 상기 시료와 상기 플라즈마와의 사이에 설치된 그리드전극에 인가하는 전원에 의하여 부여되는 플라즈마처리장치.
  17. 제11항에 있어서, 상기 가속전압은 상기 임계전위를 사이에두고 변화시킴과 동시에 에칭작용중에도 변화시키는 플라즈마처리장치.
  18. 임계전위를 가지는 가스를 감압하에서 플라즈마화하는 수단과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하고 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 치리할때에 에칭작용과 퇴적작용이 평형일때의 전위이고, 시료에 향하여 상기 플라즈마중의 이온을 가속시키는 가속전압을 상기 임계전위를 사이에두고 변화시켜 에칭작용과 퇴적작용을 교대로 행하는 수단을 가지는 플라즈마처리장치.
  19. 제18항에 있어서, 상기 시료가 폴리실리콘인 경우 상기 가스는 C2Cl3F3, C2Cl4F2, CCl4또는 C4F8중 어느 하나의 단독가스 그렇치않으면 SF6또는 NF3와의 혼합가스이고, 상기 시료가 알루미늄인 경우, 상기 가스는 CCl4, CF4, C2F6, C4F8또는 SiCl4중의 어느 하나의 단독가스 또는 그렇지 않으면 Cl2와의 혼합가스인 플라즈마처리장치.
  20. 임계전위를 가지는 가스를 마이크로파에 의한 전계와 자계발생수단에 의한 자계와의 작용을 사용하여 감압하에서 플라즈마화하는 수단과, 상기 임계전위는 어느종류의 가스를 소정의 플라즈마조건하에서 플라즈마화하고, 상기 플라즈마중의 이온에 가속전압을 부여하고 그 이온을 시료에 입사시키도록한 플라즈마를 사용하여 시료를 처리할때에 에칭작용과 퇴적작용이 평형을 이루는 전위이고, 시료를 올려놓는 시료대에 가속전압을 인가하는 수단과, 그 가속전압을 상기 임계전위는 사이에두고 변화시키는 수단을 가지는 플라즈마처리장치.
KR1019870011527A 1986-10-17 1987-10-17 플라즈마처리방법 및 장치 KR900007687B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP245361 1986-10-17
JP61-245261 1986-10-17
JP24526186 1986-10-17

Publications (2)

Publication Number Publication Date
KR880005840A KR880005840A (ko) 1988-06-30
KR900007687B1 true KR900007687B1 (ko) 1990-10-18

Family

ID=17131048

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019870011527A KR900007687B1 (ko) 1986-10-17 1987-10-17 플라즈마처리방법 및 장치

Country Status (2)

Country Link
US (1) US4795529A (ko)
KR (1) KR900007687B1 (ko)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4947085A (en) * 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5230931A (en) * 1987-08-10 1993-07-27 Semiconductor Energy Laboratory Co., Ltd. Plasma-assisted cvd of carbonaceous films by using a bias voltage
GB2212974B (en) * 1987-11-25 1992-02-12 Fuji Electric Co Ltd Plasma processing apparatus
JP2501118B2 (ja) * 1988-06-17 1996-05-29 忠弘 大見 半導体装置の製造方法
US5015331A (en) * 1988-08-30 1991-05-14 Matrix Integrated Systems Method of plasma etching with parallel plate reactor having a grid
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
FR2639363B1 (fr) * 1988-11-23 1991-02-22 Centre Nat Rech Scient Procede et dispositif de traitement de surface par plasma, pour un substrat porte par une electrode
US5089746A (en) * 1989-02-14 1992-02-18 Varian Associates, Inc. Production of ion beams by chemically enhanced sputtering of solids
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US5145554A (en) * 1989-02-23 1992-09-08 Seiko Epson Corporation Method of anisotropic dry etching of thin film semiconductors
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4985113A (en) * 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
US5133830A (en) * 1989-04-07 1992-07-28 Seiko Epson Corporation Method of pretreatment and anisotropic dry etching of thin film semiconductors
US5236537A (en) * 1989-04-07 1993-08-17 Seiko Epson Corporation Plasma etching apparatus
US5126028A (en) * 1989-04-17 1992-06-30 Materials Research Corporation Sputter coating process control method and apparatus
DE69017744T2 (de) * 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5194119A (en) * 1989-05-15 1993-03-16 Seiko Epson Corporation Method of anisotropic dry etching of thin film semiconductors
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
JP2934456B2 (ja) * 1989-07-14 1999-08-16 株式会社日立製作所 表面処理方法及びその装置
JPH03150365A (ja) * 1989-07-26 1991-06-26 Tokyo Electron Ltd 熱処理装置
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US6068784A (en) * 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US4987102A (en) * 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US6444137B1 (en) 1990-07-31 2002-09-03 Applied Materials, Inc. Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5211804A (en) * 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP3042127B2 (ja) * 1991-09-02 2000-05-15 富士電機株式会社 酸化シリコン膜の製造方法および製造装置
US5302882A (en) * 1991-09-09 1994-04-12 Sematech, Inc. Low pass filter for plasma discharge
US5325019A (en) * 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
EP0680072B1 (en) 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP3080843B2 (ja) * 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
JP3799073B2 (ja) * 1994-11-04 2006-07-19 株式会社日立製作所 ドライエッチング方法
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5614060A (en) * 1995-03-23 1997-03-25 Applied Materials, Inc. Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) * 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
JPH10150025A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
JPH11330262A (ja) * 1998-05-15 1999-11-30 Mitsubishi Electric Corp 半導体装置の製造方法
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
WO2000036631A1 (en) * 1998-12-11 2000-06-22 Surface Technology Systems Limited Plasma processing apparatus
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
JP4865948B2 (ja) * 1999-04-14 2012-02-01 サーフィス テクノロジー システムズ ピーエルシー プラズマを安定させる方法と装置
US6383938B2 (en) 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
DE19927806A1 (de) * 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
GB2360530A (en) * 2000-03-25 2001-09-26 Plasma Quest Ltd High target utilisation sputtering system with remote plasma source
JP2001279455A (ja) * 2000-03-29 2001-10-10 Canon Inc 堆積膜形成方法及び堆積膜形成装置
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US20020090815A1 (en) * 2000-10-31 2002-07-11 Atsushi Koike Method for forming a deposited film by plasma chemical vapor deposition
KR100399019B1 (ko) * 2001-04-23 2003-09-19 한국과학기술연구원 상온 화학 증착 시스템 및 이를 이용한 복합 금속막 제조 방법
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US7381650B2 (en) * 2003-04-07 2008-06-03 Unaxis Usa Inc. Method and apparatus for process control in time division multiplexed (TDM) etch processes
US7115520B2 (en) * 2003-04-07 2006-10-03 Unaxis Usa, Inc. Method and apparatus for process control in time division multiplexed (TDM) etch process
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
CN100401491C (zh) * 2003-05-09 2008-07-09 优利讯美国有限公司 时分复用处理中的包络跟随器终点检测
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
WO2006012297A1 (en) * 2004-06-29 2006-02-02 Unaxis Usa Inc. Method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7713430B2 (en) * 2006-02-23 2010-05-11 Micron Technology, Inc. Using positive DC offset of bias RF to neutralize charge build-up of etch features
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US8293685B2 (en) * 2007-07-26 2012-10-23 The Regents Of The University Of California Methods for enhancing bacterial cell display of proteins and peptides
US9039908B2 (en) 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
JP5864879B2 (ja) * 2011-03-31 2016-02-17 東京エレクトロン株式会社 基板処理装置及びその制御方法
US20130048082A1 (en) * 2011-08-22 2013-02-28 Mirzafer Abatchev System, method and apparatus for real time control of rapid alternating processes (rap)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5637311A (en) * 1979-08-27 1981-04-11 Kanebo Ltd Polyester fiber for woven and knitted fabric
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
JPS6113625A (ja) * 1984-06-29 1986-01-21 Hitachi Ltd プラズマ処理装置
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPS6141132A (ja) * 1984-07-31 1986-02-27 Omron Tateisi Electronics Co 光スイツチ装置

Also Published As

Publication number Publication date
US4795529A (en) 1989-01-03
KR880005840A (ko) 1988-06-30

Similar Documents

Publication Publication Date Title
KR900007687B1 (ko) 플라즈마처리방법 및 장치
JP3650053B2 (ja) プラズマリアクタにおけるパルス接地源の使用
KR100242272B1 (ko) 반도체 장치의 제조방법과 제조장치
KR890004882B1 (ko) 드라이에칭 방법 및 장치
US5846885A (en) Plasma treatment method
US8252193B2 (en) Plasma processing apparatus of substrate and plasma processing method thereof
US20070175856A1 (en) Notch-Free Etching of High Aspect SOI Structures Using A Time Division Multiplex Process and RF Bias Modulation
JP4714166B2 (ja) 基板のプラズマ処理装置及びプラズマ処理方法
US6909087B2 (en) Method of processing a surface of a workpiece
JPH08139077A (ja) 表面処理方法および表面処理装置
JP2000294540A (ja) 半導体装置の製造方法と製造装置
US20210287907A1 (en) Methods and apparatus for processing a substrate
JP3499104B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR101191698B1 (ko) 저압력 플라즈마를 점화시키는 방법 및 장치
KR100443471B1 (ko) 플라즈마 처리 방법
JPH08255782A (ja) プラズマ表面処理装置
JP3350973B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP2728010B2 (ja) プラズマ処理方法
JP2650626B2 (ja) プラズマ処理方法
JPH088237B2 (ja) プラズマ処理方法
JP2003077904A (ja) プラズマ処理装置及びプラズマ処理方法
TW202217912A (zh) 使用短持續時間之偏壓脈衝的離子層化
KR100234902B1 (ko) 2차전위에 의한 방전을 제거한 플라즈마 처리장치
JP2851765B2 (ja) プラズマ発生方法およびその装置
JPH07283208A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 19971006

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee