KR100255703B1 - 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 - Google Patents

전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 Download PDF

Info

Publication number
KR100255703B1
KR100255703B1 KR1019920011241A KR920011241A KR100255703B1 KR 100255703 B1 KR100255703 B1 KR 100255703B1 KR 1019920011241 A KR1019920011241 A KR 1019920011241A KR 920011241 A KR920011241 A KR 920011241A KR 100255703 B1 KR100255703 B1 KR 100255703B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
energy
silicon
antenna
Prior art date
Application number
KR1019920011241A
Other languages
English (en)
Other versions
KR930001351A (ko
Inventor
에스. 콜린즈 케니스
에이. 로데릭 크레이그
알. 트로우 존
양 챤-논
유 엔-퀴 옹 제리
마크스 제프리
알. 케스윅 피터
더블유. 그로첼 데이빗
디. 핀슨 Ii 제이
이시카와 테츠야
츙-라이 레이 로렌스
엠. 토시마 마사토
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR930001351A publication Critical patent/KR930001351A/ko
Application granted granted Critical
Publication of KR100255703B1 publication Critical patent/KR100255703B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

동형 플라즈마 반응 챔버는 반응기 돔 내부에 전기적으로 연결된 RF 에너지(LF, MF 혹은 VHF)에 의해서 구동된 안테나와 같은 장치를 사용한다.
안테나는 챔버 내부에 에칭용 금속, 유전체 및 반도체 재료에 적합한 고밀도저에너지의 플라즈마를 생성한다. 웨이퍼 지지음극에 가해진 임의 RF 바이어스 에너지는 음극피복 전압을 조절하고 밀도의 이온에너지 독립변수를 조절한다.
다양한 자기 및 전압처리 향상 기술은, 에칭처리와 함께, 증착처리 및 결합된 에칭/증착 처리를 기재한다. 공개된 발명은 손실 및 마이크로 로딩없이 민감한 장치의 처리방법을 제공하고, 그래서 생산성을 증가시킨다.

Description

전자기 RF연결부를 사용하는 플라즈마 처리기 및 방법
제1도 내지 제3도는 본 발명에 따른 플라즈마 처리실의 부분 상세도.
제4도 내지 제9도는 전력 발생기의 임피런스를 맞추기 위하여 플라즈마 부하의 임피던스를 갖춘 동조회로의 개략선도.
제10도는 플라즈마 에칭 공정에 실리콘 및 산화실리콘의 에칭속도가 직류 바이어스 전압이 증가함에 따라서 변하는 것을 도시한 그래프.
제11도는 본 발명의 하나의 특징과 일치하는 직류 바이어스 전압의 파형에서 바이어스 전압이 고기저선 값에서 보다 낮은 값까지 주기적으로 펄스 되는 것을 도시한 그래프.
제12도는 본 발명의 다른 특징과 일치하는 직류 바이어스 전압의 파형에서, 바이어스 전압은 첫 번째 진동수에서 평균값 정도 변하고, 바이어스 전압의 외유의 진폭은 두 번째 진동수, 첫 번째 진동수 보다 낮음에 일치하여 변하는 것을 도시한 그래프.
제13도는 플라즈마 밀도를 향상시키기 위하여 균일한 자석을 배열한 플라즈마 처리실의 개략도.
제14(a)도 내지 제14(d)도는 처리공정을 향상시키기 위해서 축의 자기영역이 처리되어 지는 웨이퍼에 관하여 어떻게 형상화될 수 있는가를 도시한 개략도.
제15(a)도 및 제15(b)도는 처리실내에서 플라즈마와 연결하는 정상상태 정전기 영역을 감소시키기 위한 두 개의 선택적인 패러데이 차폐구조를 도시한 부분 개략도.
제16도는 본 발명의 플라즈마 반응기의 다양한 구성요소를 조절하기 위한 예시적인 시스템의 블록도.
* 도면의 주요부분에 대한 부호의 설명
5 : 웨이퍼 10 : 시스템
11 : 진공실 16A : 근원실
16B : 웨이퍼 처리부 17 : 돔
21 : 진공펌프 시스템 G1,G2,G3: 매니폴드 주입원
30 : 안테나 32I : 절연체
39 : 진공펌프 47,76 : 전자석
43 : 매칭 네트워크 45 : 패러데이 피복
52 : 음극 86 : 시스템 조절기
본 발명은 RF 플라즈마 처리 반응기에 관한 것이며, 더 상세히는 관련 고주파(HF) 전자기파를 플라즈마에 커플링하기 위해 HP 에너지원과 공진 폐루프 안테나를 사용하는 플라즈마 반응기에 관한 것이다.
조밀한 통합 기하학에 대한 추세가 증가함에 따라 약 200∼300 볼트의 작은 외장 전압을 웨이퍼에 가했을 때 에너지 입자 충격과 방사로 인한 손실에 전기적으로 민감한 매우 작은 기하학의 부품 및 장치가 필요로 하게 되었다. 불행히도, 이러한 전압은 표준 집적회로 제작 공정 동안에 회로 부품에 가해지는 전압보다 더 작다.
진보된 장치를 위해 제작된 MOS 커패시터 및 트랜지스터와 같은 구조물은 매우 얇은 (200Å 보다 작은 두께)게이트 산화물을 가진다. 이 장치는 차이지-업(change-up)에 의해 손상되고 게이트가 붕괴될 수도 있다. 이것은 표면 충전의 중성화가 불균일 플라즈마 퍼텐션 및/또는 밀도 또는 큰 RF 변위 전류에 의해 생기지 않을 때의 플라즈마 성공에서 발생한다. 상호 연결 라인과 같은 콘덕터가 상기와 동일한 이유로 손상될 수 있다.
[RF 시스템]
화학 기상 증착(CVD) 및 RIE 반응기 시스템과 같은 종래의 반도체 처리시스템에서는, 약 10-50KHz 의 낮은 주파수에서 약 13.56-40.68MHz의 높은 주파수를 갖는 RF 에너지를 이용한다. 약 1MHz 이하에서, 이온과 전자는 전기장을 진동시킴으로써 그리고 플라즈마에 생긴 어느 정상상태의 전기장에 의해 촉진된다. 이러한 비교적 낮은 주파수에서, 웨이퍼에 생기는 전극 외장 전압은 통상적으로 1 또는 그 이상의 킬로볼트 피이크 까지이고, 손실은 거의 200-300볼트에서의 손실보다 매우 크다. 수 MHz 이상에서, 전자는 여전히 전기장의 변화에 부합할 수 있다. 더 큰 이온은 전기장의 변화에 부합할 수 없지만, 정상상태의 전기장에 의해 촉진 된다. 이 주파수 범위( 및 실제적인 가스압력 및 전력레벨)에서, 정상 상태 외장 전압은 수백 내지 1000볼트 또는 그 이상의 범위에 있다.
[자기장-강화]
RF 시스템에서 바이어스 전압을 감소시키는 바람직한 방법에는 자장을 플라즈마에 가하는 단계가 포함한다. 이 B 장은 웨이퍼 표면 부근 영역에 전자를 형성하고 이온전류와 이온 플럭스 밀도를 증가시키고, 그래서 전압과 이온에너지에 대한 요구를 감소시키도록 한다. 비교에 의하여, 이산화실리콘을 에칭하기 위한 비자성 RIE 공정은 13.56MHz를 가한 RF 에너지, 10-15ℓ 체적의 비대칭 시스템, 50밀리토르 압력 및 약 (8-10)대 1의 애노드 영역 대 웨이퍼 지지 캐소드 영역의 비율, 약 800볼트의 전개 웨이퍼(캐소드)외장 전압을 사용할 수 있다. 60 가우스의 자장을 적용함으로써 바이어스 전압을 약 25-30%, 즉 약 500-600볼트 감소시키고, 에칭율을 약 50% 증가시킬 수 있다. 하지만, 웨이퍼에 평행한 정상장 B를 적용하면 E x B 이온/전자 드리프트와 웨이퍼에 거의 가로질러 배향된 관련 플라즈마 밀도 경사를 개발할 수 있다. 비균일성은 웨이퍼 주위의 자기장을 회전시킴으로써, 통상적으로는 영구자석의 기계적 이동에 의해 또는, 상으로부터 90°로 구적으로 형성된 전자기 코일쌍을 사용함으로써 또는 코일쌍의 전류를 스텝에서 순간적으로 조절하거나 그렇지 않으면 자장을 조절된 비율로 이동시킴으로써 감소될 수 있다. 하지만, 비록 이 장을 회전시킴으로써 비균일 경사를 감소시킬 수 있지만, 통상 어느정도의 비균일성이 남는다.
또한, 코일, 특히 하나의 챔버에 대해 2상 또는 그 이상의 코일을 포장하기는 어려우며, 특히 헬름 홀쯔 코일 배열 및/도는 보통 로드록을 둘러싸는 개별 자기-강화 반응기 챔버의 다챔버 시스템을 사용할대 콤팩터 시스템을 얻기도 어렵다.
발명자 쳉등의 미합중국 특허 제4,842,683호에는, 자장세기 및 방향을 일시적 및 선택적으로 변화시킬 수 있는 능력을 가졌고 다챔버 반응기 콤팩트 시스템용으로 설계된 특정 반응기 시스템이 공개되어 있다.
[마이크로파/ECR 시스템]
마이크로파 및 마이크로파 ECR(전자 사이클론 공진)시스템은 플라즈마를 일으키기 위해 800MHz 보다 더 큰 주파수, 통상적으로는 2.45GHz 주파수의 마이크로파 에너지를 사용한다. 이 기술은 고밀도 플라즈마를 만들지만, 이것은 이산화실리콘의 RIE 와 같은 많은 공정용 최소 반응 문턱 에너지 아래이다. 보충을 위해서 에너지-강화 저주파수 전력은 웨이퍼 지지 전극에 커플링 되고 웨이퍼를 통해 플라즈마에 커플링된다. 그래서, 웨이퍼의 손실 가능성은 종래 시스템에 비해 감소 한다.
에칭 또는 CVD 와 같은 반도체 웨이퍼 처리를 위해 실제적인 전력 레벨에서 작동 하는 마이크로파 및 마이크로파 ECR 시스템에는 전력 전송용 대형 도파관, 비싼 동조기, 방향성 결합기, 순환회로 및 조작용 의사부하가 필요 하다. 부가적으로, 2.45GHz 에 작동 하는 마이크로파 ECR 시스템용 ECR 조건을 만족 시키기 위해서, 875 가우스의 자기장, 대형 전자석, 큰 전력 및 냉각이 필요하다.
마이크로파 및 마이크로파 ECR 시스템의 스케일은 용이하게 측정될 수 없다. 하드웨어는 2.45GHz의 주파수가 적당한데, 그 이유는 이 주파수는 마이크로파 오븐에 사용되기 때문이다. 915MHz 시스템은 비록 고가이지만 유용하다. 다른 주파수에서의 하드웨어는 용이하지 않거나 경제적으로 유용하지 않다. 따라서, 더 큰 반도체 웨이퍼를 수용할 수 있는 5-6인치 마이크로파 시스템은 스케일하기 위해서는, 고작동 방식을 사용할 필요가 있다. 고작동 방식에 따른 고정 주파수에서의 이러한 스케일링에는 고 또는 저배열(order)부하로 모드 플립핑(flipping)하여 공정변화를 초래하는 것을 피하기 위해 매우 조밀한 공정제어가 요구된다. 예를들면, 5-6인치 마이크로파 캐비티에 대한 스케일링은 플라즈마 플럭스가 더 큰 영역 위로 번지도록 발산자장을 사용함으로써 이루어진다. 이 방법은 효과적인 전력밀도를 감소시키고 그래서 플라즈마 밀도를 감소시킨다.
[HF 전송선로 시스템]
발명의 명칭이 “VHF/UHV 반응기 시스템”인 미합중국 특허출원 제559,947호는 본 발명의 참고문헌으로 언급된다. 이 관련 출원에서 고주파수 VHF/UHF 반응기 시스템에 대해 공지하고 있다. 이 반응기 시스템에서, 반응기 챔버 자체는 고주파 플라즈마 발생 에너지를 네트워크를 메이팅하는 것으로부터 이 챔버에 가하기 위해 전송선로 구조로서 배열된다. 이 특정 통합 전송 선로 구조는 매칭 네트워크와 부착사이에 매우 짧은 전송선로에 대한 요구를 만족시키고 50 내지 800MHz 의 비교적 높은 주파수의 사용을 허용한다. 이것은, 비교적 낮은 이온 에너지와 저외장 전압으로 공업적으로 받아들일 수 있는 에칭율 및 증착율을 발생시키기 위해 RF 플라즈마 발생에너지를 플라즈마 전극에 효과적이고 조절 가능하게 적용할 수 있게 한다. 이 비교적 낮은 전압은 전기 적으로 민감한 소형 기하학 반도체 장치에 손실이 생길 가능성을 감소시킨다. VHF/UHF 시스템에서는 상술한 스케일성과 전력 제한과 같은 종래의 문제점을 피할 수 있다.
따라서, 본 발명의 주요 목적은 플라즈마를 발생시키기 위해 고주파 AC 에너지를 사용하는 플라즈마 반응기 및 방법을 제공함에 있다.
하나의 관점에서, 종래 기술의 단점을 극복하는 본 발명은 발생구역 및 처리구역 즉 장착되어 있는 반도체 웨이퍼와 같은 부품을, 예를들면, 연결수단 혹은 연결수단의 아래방향에서 제조하기 위한 진공실내에 플라즈마를 생성하기 위하여 RF 전자기 에너지를 처리실로 유도적으로 연결하기 위한 수단과 플라즈마 처리를 향상시키기 위하여, 처리 구역내에 RF 음극, 진공실 벽으로 형성된 양극, 및 전기적으로 RF 바이어스에 전기적으로 유리되거나, 접지된 혹은 연결되어 있는 발생 구역 전극을 구성하는 3극 배열을 구비한 진공실을 구성하는 RF 플라즈마 처리 시스템의 제작 및 작동에 구체화된다 ;
발생구역 전극 및/혹은 발생구역을 형성하는 진공실 벽은 산화물 에칭과 같은 처리를 향상시키기 위하여 규소를 포함한다.
바람직하게 100KHz 내지 100MHz 범위내 LF/VHF(저주파 대 초고주파)의 RF 파워가 사용된다. 더욱 바람직하게는, 100KHz 내지 10MHz 범위내 LF/HF 파워가 사용된다. 더욱 바람직하게는, MF(중간 주파수)가 3000KHz 내지 3MHz 범위내에서 사용된다. 바람직하게는, 연결수단은 다중권선 즉 권선되지 않은 부분이 λ/4보다 작게되는 원통 코일형 안테나이다(여기에서, λ는 플라즈마 작동동안 코일형 안테나에 적용되는 고주파수 RF 여기 에너지의 파장).
본 발명은 또한 안테나용 RF 에너지를 공급하기 위한 수단의 출력 임피던스에 발생원의 입력 임피던스를 맞추기 위하여 안테나에 연결된 부하 수단 뿐만 아니라 안테나를 공명 주파수에 맞추기 위하여 동조시키기 위한 안테나에 연결된 수단을 둘러싼다. 동조수단은 안테나의 한쪽 단부와 RF 접지 사이에 전기적으로 접속된 가변성 캐패시턴스가 될 수 있다. 부하수단은 안테나 코일의 다른 단부 및 RF 접지 사이에 전기적으로 접속된 가변성 캐패시턴스가 될 수 있다. RF 에너지는 코일형 안테나를 따라서 선택된 위치에 있는 탭(tap)을 경유하여 적용될 수 있다.
다른 관점에서, 시스템은 발생구역을 형성하는 절연성 돔 혹은 원통을 포함한다. 바람직하게는, 코일형 안테나는 고주파 전자기 에너지를 진공실에 유도적으로 접속하기 위한 돔을 둘러싼다. 제조되는 품목은, 발생원 혹은 돔 내부, 안테나의 하부권선 혹은 부피내부 혹은 밀접학 인접된 곳, 혹은 바람직하게는, 안테나의 아래방향에 위치될 수 있다.
본 발명은 또한 돔의 상부에 가스 주입부를, 돔의 근원 구역의 바닥에 첫 번째 링 매니폴드를 그리고 웨이퍼 지지전극에 둘러있는 두 번째 링 매니폴드를 구성하는 챔버로 가스를 주입하기 위한 수단 및 반응용 희석액, 표면안정액 및 다른 가스를 챔버로 선택적으로 공급하기 위한 수단을 포함한다.
다른 관점에서, 교류 전력 공급기 및 조절 시스템은 발생원 코일전력과 마찬가지로 같거나 비슷한 주파수의 교류 바이어스 전력을 웨이퍼 지지대 음극에 연결하고, 이에 의해서 근원 라디오 주파수 전력에 의해서 영향을 받는 플라즈마 밀도 조절에 무관학 음극 피복 전압 조절 및 이온에너지에 영향을 미친다. 시스템은 여러 가지 목적을 달성하기 위하여 선택된 바이어스 주파수를 제공한다. 먼저, 상위 주파수 한계는 “전류-유도성” 손실(매우 높은 주파수는 민감한 장치에 충전손실을 유발시킬 수 있다)을 방지하기 위하여 선택된다. 하위 주파수 한계는 “전압-유동성” 손실을 배제하기 위하여 선택된다. 하위 주파수 바이어스 또한 단위 바이어스 전력당 더욱 높은 웨이퍼 피복전압(기판의 낮은 온도로 가열)을 생성하고 플라즈마 밀도에 더욱 작은 기여를 하고 그래서 더욱 나은 이온밀도의 독립적 제어 및 에너지를 제공할 수 있다. 그러나 너무 낮은 바이어스 주파수는 이온이 웨이퍼 피복 전장의 RF 성분을 따르도록 하여서, 이온 에너지를 조절한다. 그 결과는 피크대 평균에너지 비율이 더욱 높고 이온 에너지 분포가 더욱 넓게(두배의 피크)되는 것이다. 매우 낮은 바이어스 주파수는 절연체의 충전을 유발하고, 바이어스 주파수 기간 동안 이온 유도성 처리를 금한다. 편리하게는, 상기 고려를 만족시키기 위한 바람직한 주파수 범위는 근원 주파수 범위와 일치한다. 즉 바람직하게는 100KMz 내지 100MHz 범위내의 LF/VHF (저주파수 대 초고주파수) 전력이 사용된다. 더욱 바람직하게는, 100KHz 내지 10MHz 범위내의 LF/HF(저주파수 대 고주파수) 전력이 사용된다. 더욱 바람직하게는 300KHz 내지 3MHz 범위내의 MF(중주파수)전력이 사용된다.
본 발명은 상대적으로 낮은 에칭속도를 제공하기 위한 웨이퍼상의 첫 번째 선택된 재료위에 표면안정제 코팅을 형성하고 상대적으로 높은 속도 및 선택성에서 두 번째 선택된 재료를 선택적으로 에칭하기 위하여 개별적으로 선택된 저 및 고값 사이에 직류 바이어스 전압을 원통형으로 펄싱하기 위한 제어 수단을 포함한다.
다른 관점에서, 챔버는 돔의 외부로 중성입자의 흐름을 발생시키기 위하여 돔을 가로질러 수직 압력차를 발생시키기 위하여 챔버에 연결된 첫 번째 진공 펌프 및 돔에 연결된 두 번째 진공펌프에 의해서 진공화되고, 웨이퍼 지지전극에서 전압은 하전된 입자가 챔버를 향하여 흐르도록 압력차를 이기기에 충분하다.
다른 관점은 전도체, 즉 고주파수 전자기 에너지의 전장 성분이 챔버와 결합하는 것을 방지하기 위해 코일형 안테나 혹은 다른 결합수단과 챔버 사이에 삽입된 다른 형상의 패러데이 피복을 포함한다. 또한 코일 혹은 다른 결합 기구를 둘러싸는 고정된 고주파수 반향기는 고주파수 에너지의 방사를 챔버에 집중시킨다.
자기적 향상은 웨이퍼에 대해서 아래 방향으로 플라즈마를 위치하고 전송하는 것을 조절하기 위하여, 안테나의 축에 평행한 조절된 정자장, 균일성으로부터 선택된, 분산 및 자기거울 형상을 적용하는 원주의 영구 혹은 전자석 배열에 의해서 공급된다. 또한 자석은 실질적으로 웨이퍼를 가로질러 자장을 제거하는 동안 플라즈마를 웨이퍼 구역에 한정시키기 위하여 웨이퍼 근처에 있는 다수 첨단장을 챔버에 적용시키기 위하여 근원 및/혹은 챔버 주위에 장착된다. 게다가, 자성분류기는 웨이퍼 및 웨이퍼 지지전극을 둘러싸며 장착 되어서 웨이퍼 지지음극으로부터 자장을 분산시킨다.
시스템 구조는 작동 주파수를 선택하므로써 크기의 범위를 허용하는 반면에 저모드작동을 유지한다.
다른 처리 관점에서, 본 발명은 플라즈마를 생성하며, 근원구역 및 처리 구역을 구비한 진공실을 제공하는 것을 구성하며, 처리구역내 전극상에 재료를 지지하며, 처리용 가스를 챔버에 공급하며 전기적 길이가 〈 λ/4인 하나의 코일권선 이상의 원통 코일형 안테나(여기에서 λ는 안테나에 적용되는 RF 에너지의 파장)를 사용하며, 목적물상의 하나 이상의 재료를 제조하기 위한 플라즈마를 생성하는 근원 구역으로 RF 에너지를 유도적으로 연결하며, 그리고 지지전극에서 피복전압을 조절하기 위하여 지지전극을 경유하여 RF 에너지를 챔버와 용량적으로 결합하기 위한 방법에 구체화된다.
방법은 또한 자동적이고 반복적으로 안테나를 공명주파수에 맞추기 위하여 동조시키고 안테나용 RF 에너지 공급기의 임피던스에 입력 임피던스를 부하시키는 것을 포함한다.
또 다른 양상에서, 프라즈마를 발생하기 위한 본 공정은, 소오스 및 공정영역을 가지며 공정영역에서 전극을 가지고 소오스 영역에서 전극을 가진 월(wall)을 가진 진공챔버를 제공하는 공정; 음극인 공정영역 전극이 음극이고 상기 월은 애노드이며 상기 소오스 전극의 전기접속은 접지 플로팅 및 RF 또는 DC 바이어스에서 선택되는 챔버 및 소오스 전극의 월인 공정영역의 전극을 접속하는 공정; 상기 공정영역에서 전극상의 한 부분을 차지하는 공정; 챔버에 공정가스를 공급하는 공정 ; 다수의 코일 권선의 원통형 코일 안태나를 이용하며 λ가 안테나에 공급되는 RF 에너지의 파장일 때 일렉트릭 랭스 〈 λ/4이고, 전극의 부분을 다수의 재질로 제조하도록 프라즈마를 발생하기 위한 소오스 영역에서 RF 에너지를 유도 결합하는 공정; 그리고 지지 전극에서 외장전압을 제어하기 위해 지지전극을 지나는 챔버에서 RF 에너지를 용량 결합하는 공정을 포함한다.
소오스 영역의 소오스 전극 및 챔버 중 적어도 하나는 실리콘 이거나 실리콘을 함유하고, 공정을 향상시키기 위한 프라즈마에서 실리콘을 없애기 위하여 소오스 전극을 RF 로 바이어스 된다.
또 다른 양상에서, 안테나 파워 및 전극에서 공급된 바이어스 파워는 이방성, 반이방성 및 등방성 에칭에 선택적으로 효과를 미치기 위하여 제어된다.
상기 공정은 실리콘 증가의 이용 및/또는 CO 및 CO2와 같은 첨가물을 선택적 이용과 에치 프로파일 증가의 이용으로 실리콘 면의 실리콘 산화막을 에칭하는 공정을 포함한다. 상기 공정은 실리콘 상의 에치 억제층을 형성하도록 선택된 낮은 값으로 바이어스 전압을 주기적으로 조절하고, 실리콘에 대해 높은 비율로 실리콘 산화막을 에치하도록 높은 값으로 바이어스 전압을 주기적으로 조절하는 공정을 포함한다.
공정은 또한 규소산화물의 스퍼터 증착 및 첫 번째, 상대적으로 저레벨 RF 전력을 규소산화물을 증착하기 위해지지 전극에 적용하는 첫 번째 단계와 상당한 고레벨 RF 전력을 규소산화물 증착 및 규소산화물을 평탄화하는 네트스퍼터 패세트(facet)하기 위해서 지지전극에 적용하는 두 번째 단계의 방법을 포함한다.
특정공정의 양상은 산화에칭을 포함하지만 이것에만 제한되지 않고, (a) 폴리실리콘(다결정 실리콘)위에 형성된 산화물에서의 접촉구멍을 에칭하는 단계 ; (b) 산화 실리콘고 폴리실리콘의 광 에칭; (c) 고속도로의 등방성 및 비등성 에칭; (d) 게이트와 같은 폴리실리콘 콘턱터에 대한 에칭 ; (e) 단결성 실리콘의 비등방성 에칭 ; (f) 포토레지스트 스트리핑 ; (g) 비등방성 포토레지스트 에칭 ; (h) 질화물 및 옥시질화물의 저압 플라즈마 증착 ; (i) 산화물, 옥시질화물 및 질화물의 고압 등방성 컨포멀(conformal)증착 ; (j) 알루미늄고티타늄, 그 화합물, 그 합금과 같은 금속의 에칭 ; 및 (k) 평탄화와 함께 국부적, 구형 스퍼터링 면가공 증착을 포함하고 있다.
제1도 내지 제3도는 유도성 플라즈마원 배열, 용량성 접속 바이어스 배열, 자기적으로 향상된 플라즈마원 배열 및 본 발명의 다른 특징들을 이용한 반도체 웨이퍼(5)를 처리하기 위한 플라즈마 반응실 시스템(10)을 도시한 부분 단면도이다. 상기 세 개의 도면은 본 시스템의 바람직하고 대체적인 형상을 예시한다. 세가지 형상은 도면 공간 한계 때문에 도시된다. 전형적인 챔버는 동시 출원중인 CIP 출원에서 도시한 챔버의 보온이고, 집적 전송라인 구조를 포함한다. 본 발명의 두드러진 특징은 플라즈마 반응실에 일반적으로 적용될 수 있다. 게다가, 분리적으로 사용될 수 있거나 시스템에서 선별적으로 생략될 수 있는 반응시스템의 수행을 협동적으로 향상시키는 본 발명의 다양한 특징들을 하기한 것으로부터 당업자들에게 이해될 수 있다. 예를들면, 유도성 플라즈마원 배열 및 용량성 연결 바이어스원 배열에 의해서 제공된 처리조건은 자기 향상에 적합한 어떤 조건을 자주 제거한다.
예시되어 있는 시스템(10)은, 양극처리된 알루미늄 및 다른 적절한 재질로 형성되고 측벽(12) 및 상부와 하부벽(13과 14)을 구비한 진공실 하우징(11)을 포함한다. 양극처리된 알루미늄은 아아크와 스퍼터링을 제압하기 때문에 적합하다. 그러나 폴리머 혹은 수정의 보상 처리 가능한 라이너 혹은 세라믹을 갖추거나 갖추지 않은 베어 알루미늄과 같은 다른 재질도 사용될 수 있다. 상부벽(13)은 양측벽(12-12) 사이에 형성된 하부 챔버 웨이퍼 처리부(16B)와 돔(17)에 의해서 형성된 상부 챔버 원부(16A) 사이에 중앙구멍(15)을 구비한다. 돔(17)은, 바람직하게는, 수정과 같은 절연물질 혹은 알루미나 및 알파 알루미나 (사파이어)와 같은 여러 다른 절연성 물질로 형성된 엎어진 하나 혹은 복수의 벽으로 이루어진 컵의 형상을 한다. 제1도에 도시된 바람직한 배열에서, 돔(17)은 수정과 같은 절연체로 된 원통형 벽(17H) 및 전형적으로 알루미늄 혹은 양극 처리된 알루미늄으로 된 덮개 혹은 상부(17T)를 구성한다. 높은 선택성 산화 에칭과 같은 처리를 위해서, 규소 혹은 규소가 함유된 상부벽 기구, 및 돔 측벽을 덮는 규소가 바람직하다.
제1도에 도시된 것처럼, 챔버 하우징(11)(챔버(16))의 내부 진공은 하부벽(14)에 연결되고 하나 이상의 진공 펌프를 구성하는 진공 펌핑 시스템(21)에 연결된 진공라인(19)에 있는 쓰로틀 밸브(18)(유속과 독립하여 압력조절)에 의해서 제어된다.
시스템(10)내에 도시된 것처럼, 챔버성분은, 챔버벽 및 돔을 포함하고, 처리수행을 위해서 가열 및/혹은 냉각될 수 있다. 예를들면, 돔은 액상 혹은 기상 열 전달 수단에 의해서 가열 혹은 냉각될 수 있거나, 가열 부품은 돔을 직접 가열할 수 있다.
부분(2)에 기재되고 제2도에 도시된 것처럼, 처리가스, 퍼지 가스, 희석제등은, 근원(돔)의 하부, 근원의 사우판(177) 및 웨이퍼의 원주에 각각 설치된 3개의 매니폴드 주입원(G1,G2,G3)에 의해서 챔버에 공급될 수 있다. 가스는, 예를들면, 전형적으로 압축된 가스의 하나 이상의 근원으로부터 컴퓨터로 제어된 흐름 조절기(도시되어 있지 않음)를 경유하여 챔버(11)에 공급된다. 주요 가스 주입 매니폴드(G1)에서, 화살표(22)에 표시된 것 처럼, 상부벽(13)의 측면 혹은 상부벽에 집적되어 장착되어 있는 수정링 가스 매니폴드(51)를 통해서 내부 진공 처리실(16)로 가스는 들어간다. 매니폴드(23)는 RF 에너지의 응용에 에칭 및/혹은 증착 플라즈마를 향상시키기 위해서 챔버들/챔버부(16B 및 16A)에 약간 상향각도에 에칭가스 및/혹은 증착가스를 바람직하게 공급한다. 돔(17)의 상부판(17T)에 있는 상부 매니폴드 배열(G2)은 반응기 및 다른 가스를 챔버(16)로 주입하기 위하여 사용된다. 또한, 웨이퍼의 주변인 매니폴드 배열(G3)은 반응기 및 다른 가스를 공급하기 위하여 제공된다.
RF 에너지는 RF 공급에 의해서 출력이 되는 적어도 하나의 권선형 혹은 코일형 안테나(30)를 구성하고 네트워크와 맞물리는 근원에 의해서 돔에 공급된다. 안테나(30)는 바람직하게는 다중 권선 원통형 형상을 한다. 코일(30)은 주어진 진동수 및 주어진 근원(코일) 직경에서 최소 도체 전기적 길이를 형성하고 작동 진동수에서 λ/4보다 작은 전기적 길이를 갖는다. 스스로에 의해서, 안테나(30)는 공진되지 않고 단원(5)에서 기재된 것처럼 유도성 결합의 패러데이 법칙에 의해서 플라즈마 근원과 효과적인 유도성 결합을 위해서 공진 주파수와 동조시킨다.
바람직하게는, 챔버 근원부(16A)로부터 가스는 웨이퍼(5)를 향해 아래로 흐르며 그리고 웨이퍼로부터 방사식으로 외부로 펌프된다. 이 목적을 위하여, 원형 진공 매니폴드(33)는 음극 전송라인 구조(32) 주위에 형성된다. 즉 한쪽의 챔버벽(12)과 다른쪽의 외부 전송라인 전도체(320) 사이 및 기저부 상의 챔버 기저벽(14)과 상부의 전도성 펌핑 스크린(29)사이에 형성된다. 매니폴드 스크린(29)은 진공 매니폴드(33)와 웨이퍼 처리실(16B) 사이에 끼워지고 챔버벽(12)과 전송라인 구조(32)의 외부도체(320) 사이에 전도성 전기 통로를 제공한다. 매니폴드(33)는 웨이퍼(5) 원주로부터 배출가스의 균일한 방사형 펌핑을 수행하기 위한 원형 펌핑 통로를 형성한다. 배출 매니폴드(33)는 배출가스 시스템 라인(19)에 연결된다. 가스흐름은 매니폴드(G1)으로부터 돔/근원으로 경로(22)를 따르거나/혹은 매니폴드(G2)로부터 돔/근원으로 경로(24)를 따르거나/혹은 매니폴드(G3)로부터 웨이퍼(5)를 향하여 방사식으로 내부로 흐르는 경로(26)를 따른다. 전체적인 가스흐름은 상부 챔버 근원부(16A)에서 웨이퍼(5)를 향한 경로(34)를 따르고, 웨이퍼로부터 경로(36)를 따르고 스크린(29)를 통해서 가스 배출 매니폴드(33)로, 그리고 배출 매니폴드(33)로부터 배출 시스템(21)로의 경로를 따른다. 전도성 매니폴드 스크린(29) 및 음극 전송라인 구조는 선택적이다. 전형적으로 관심 있는 진동수의 하단 단부에서, 파장은 매우 길고, 그래서, 전송라인 구조는 불필요하다.
이것은 종래의 RF 시스템 배열과 대조되고, RF 시스템 배열에서, RF 출력은 두 개의 전극, 즉 통상적으로 웨이퍼 지지전극(32C), 지지전극의 상부 표면은 웨이퍼(5)를 지지함과 반응실의 측벽(12), 상부벽(13) 및/혹은 매니폴드에 있는 두 번째 전극 사이에 적용된다.
특별히, 안테나(30)는 처리가스내 전장을 유도하기 위하여 돔(17)의 외부 및 인접된 위치 그리고 RF 전자기 에너지를 근원실(16A)과 연결하기 위한 플라즈마실(16A)에 위치된다. 패러데이 법칙의 유도성 결합에 의해서 em 에너지의 B(자기)성분을 바꾸는 것은 진행가스를 에너지화하고 그래서 상대적으로 고밀도 및 낮은 에너지 이온에 의해서 특징된 챔버(16)(참조번호 16은 집합적으로 챔버 (16A 및 16B)와 플라즈마를 나타낸다)내에 플라즈마를 형성한다. 플라즈마는 코일형 안테나(30)내에 형성된 작은 부피내에 집중된 돔(17)내에 생성된다. 이온, 전자, 자유라디칼 및 여기된 중성자와 같은 활성종류는 확산에 의해서 웨이퍼를 향해 아래방향으로 유동되고 여기에서 서술된 방해가스 흐름 때문에 부피적으로 흐른다. 또한, 단원 7에 서술된 것처럼, 적합한 자기장은 웨이퍼를 향한 이온 및 전자를, 아래에 서술된 것처럼, 추출할 수 있다. 선별적으로, 그러나 바람직하게, 제1도에 도시된 바이어스 전압 입력 배열(41)은 전압원 (42)과 바이어스 매칭 네트워크(32)를 구입하고 RF 에너지를 웨이퍼에 플라즈마 외장전압을 선별적으로 증가하기 위하여 웨이퍼 지지전극(32C)와 연결되어서 선별적으로 이온에너지를 웨이퍼에 증가한다.
실질적으로 개방 바닥 바스인 반사기(45)는 안테나를 바닥부분이 아닌 상부 및 측벽부를 에워싼다. 반사기는 RF 에너지가 외부로 방사되는 것을 방지하고 이에 의해서 플라즈마 출력의 방사 및 분산을 응집시켜 효율적으로 증가시킨다. 단원(7)에 서술된 것처럼, 제3도에 도시된 패러데이 막이(45)는 안테나(30) 내부, 위 아래에 위치되어서 플라즈마에 연결된 자장을 허용하나 직접적인 전장연결을 금하며, 직접적인 전장 연결은 플라즈마에 그라디언트 혹은 비균일성을 유도하거나 고에너지에 하전입자를 가속시킨다.
단원 8에 서술된 것처럼, 선택적으로, 제2도에 도시된 하나 이상은 전자석(47-47) 혹은 영구자석은 챔버 밀폐기(11)에 인접되게 장착되어서 웨이퍼(5)에 플라즈마의 밀도를 증가시키거나, 웨이퍼에 이온을 전송하거나, 플라즈마 균질성을 향상시키기 위한 자장을 제공한다.
단원 4에 충분히 기재된 것처럼, 본 발명은 유도성 연결 전자력의 자기 성분을 전형적으로 마이크로웨이프 혹은 마이크로 웨이브-진동수 보다 작은 진동수에서 고밀도 및 상대적으로 낮은 에너지에 의해서 특징된 플라즈마를, 잠재적으로 손실되는 고출력 RF 에너지를 웨이퍼를 통하여 연결됨이 없이, 생성하기 위한 진공실 내부에 원형 전장을 유도하기 위하여 사용된다. 바람직하고 예시된 하향 플라즈마원 배열에서, RF 에너지는 고플라즈마 밀도 상태로 웨이퍼와 떨어져서 흡수되어서, 전자파가 웨이퍼로 진행하지 못하고 그래서 손실의 가능성을 최소화하게 한다. 선별적으로, 그리고 선택적으로, RF 바이어스 에너지는 웨이퍼 외장 전압을 증가시키기 위한 웨이퍼 지지전극(32C)에 적용되어서, 이온 에너지는 요구된 것처럼 된다.
본 발명에 의한 챔버(11)는 약 0.1mA 내지 약 50토르, 그리고, 에칭을 하기 위해서, 전형적으로 약 0.1mA 내지 약 200mA 이 전체적인 챔버압력을 이용하여 반도체 웨이퍼를 처리 즉 증착 및/혹은 에칭이 가능하다. 본 챔버는 5밀리 토르 이하 압력에서 작동될 수 있고, 사실상, 2미리토르 압력에서 성공적으로 작동된다. 그러나, 더욱 높은 압력이 증가되는 펌핑속도 및 더욱 빠른 유속 때문에 어떤 처리 경우에는 바람직하다. 예를들면, 산화에칭의 경우 약 5mA 내지 약 50mT 의 압력범위가 적절하다. 이러한 상대적으로 높은 압력은 발생원 및 웨이퍼 사잉 밀폐된 공간을 요구한다. 본 챔버는 웨이퍼와 안테나의 약 5/2(m/inch)의 하부권선 사이에, 충전 손실없이, 매우 적절하고 밀폐된 공간의 잇점을 실현할 수 있다. 즉 향상된 에칭속도 및 선별성, 주어진 에칭속도에 적합한 감소된 바이어스 전압량 및 이온 에너지량 그리고 웨이퍼의 향상된 에칭 균일성, 예를들면, 웨이퍼(5) 및 근원 안테나 사이의 간격(d)을 10/4(cm/inch 이 자체로 밀접한 간격이다)에서 5/2(cm/inch) 까지 감소함은 전압량을 반으로 감소하고 균일성을 약 2.5%에서 약 1% 까지 증가한다.
2. 다수의 가스주입부
언급된 것처럼, 본 발명에 따른 챔버는 반응성 즉, 정화등, 가스를 다른 위치에서 본 공정(에칭, 증착 등)의 요구에 응한 특별한 공정 및 본 공정에 사용된 특별한 재료의 질을 향상시키기 위한, 제2도에 도시된, 다수의 가스 주입원(G1,G2,G3)을 구비한다. 먼저, 챔버는 근원지역(16b)의 기저/바박의 원주에 표준형 방사 가스분해 시스템(G1)을 포함한다. 현재의 바람직한 형상에서, G1주입 시스템은 근원의 끝부분에 있는 수정 가스 분배링(51) 및 가스를 링으로 보내는 분배 통로를 형성하는 원주의 원형 매니폴드(52)를 포함한다. 링은 내부로 향한 방사형 구멍(53-53) 및, 바람직하게, 공동형 음극 방출을 방지하기 위해서 구멍에 삽입된 단계적으로 소결된 세라믹 기공형 가스분사 플러그(54-54)를 구비한다.
두 번째 가스 주입배열(G2)은 양극화된 알루미늄과 같은 재질로 만들어지고 기공형 세라믹 분사 디스크(57)로 충만된 중심가스 주입구멍(56)을 구비한 접지되거나 플로우팅되거나 바이어스된 돔의 상부판(17T)을 포함한다.
세 번째 가스 주입원(G3)은 웨이퍼(5)의 원주 위에 장착된 링형 가스 주입 매니폴드(58)(혹은 지지대에 대해서 제위치에 웨이퍼를 지지하기 위해 사용된 결합링(도시되어 있지 않음)에 병합된 가스주입부)를 포함한다.
상기된 바와 같이, 부식제 및 증착용에서 선별된 가스의 다양한 종류, 즉 표면 안정화, 희석가스 등은 특별한 에칭 및 증착공정과 재료의 요구를 만족시키기 위해서 하나 이상의 근원(G1내지 G3)를 통하여 챔버로 공급될 수 있다. 예를들면, 본 유동성 근원 안테나(30)는 매우 고밀도의 플라즈마를 공급하고 챔버돔의 근원지역(16A)에 가스를 분해하는데 매우 효율적이다. 결과적으로, 폴리머 형성용이 G1혹은 G2를 통하여 돔으로 공급될 때, 고도의 분해종은 돔의 내부에 폴리실리콘을 코팅하는 대신에 코팅되고/혹은 보호적으로 코팅되어지는 폴리실리콘 표면에 부착하지 않을 정도로 완전히 분해될 수 있다. 용액은 C2F6혹은 DF4와 같은 부식액종을 G1혹은 G2를 통하여 근원지역(16A)으로 유입되고, CH3F 혹은 CHF3와 같은 폴리머 형성종을 파괴적인 분해없이 폴리머상에 선택적으로 폴리머를 형성하기 위하여 유입부 G3를 경유하여 공급된다.
근원지역에서 가스의 높은 분해도 때문에 플루오린 함유가스(플루오린이 탄소와 결합된 것이라도) 전형적으로 규소를 에칭하는 자유 플루오린을 생산하고 그래서 산화용 에칭 선택을 감한다. 높은 선택성이 요구될 때, 규소 함유 첨가 가스는 자유 플루오린과 결함하고 자체 규소 에칭을 감소하기 위해서 주입 될 수 있다. 부식가스 및 규소함유 첨가 가스는 분리적으로 G1혹은 G2를 경유하여 유입될 수 있거나 혼합상태로 G1및/혹은 G2를 통하여 유입될 수 있다. 적합한 플루오린 소모성 규소 함유 첨가 가스는 실란(SiH4), TeO3, 디메틸실란 및 규소테트라플루오린(SiF4)를 포함한다.
플루오린 소모성 및 폴리머 형성 첨가가스는 함께 에칭 선택성을 향상시키기 위해 같은 공정에 사용될 수 있다.
증착속도는 O2및 Ar2와 같은 산소함유종 및 희석제를 G1및/혹은 G2를 통하여 주입하고, SiH4와 같은 규소함유 가스를 G3를 통하여 공급함으로써 향상된다.
제2도는 선택적인 진공펌핑 형상을 도시한다. 챔버의 하부에 혹은 근처에 연결되어 있는 진공펌핑 시스템(21)에 더하여, 진공펌프(39)는 라인(38)을 통해서 돔(17)의 내부에 있는 근원지역(16A)와 연결된다. 펌핑 시스템(39 및 21)의 유속은 근원지역(16B)를 가로질러 수직 압력차 ΔPP즉 하전된 입자를 근원(16A)에서 웨이퍼(5)로 전송에 대한 압력(1)과 전자 및 이온과 같은 하전된 입자의 바이어스 전압에 의해서 발휘된 힘(Fb) 보다 더욱 작은 크기의 압력(2)차를 발생시키도록 선택된다. 압력차(ΔPP)의 결과로서, 라디칼과 같은 하전되지 않은 입자들은 웨이퍼(5)에 도달하지 못하고, 오히려 상부 진공실 연결부(38) 밖으로 흐른다. FDC> ΔPP의 결과로서, 하전된 전자 및 이온은 처리구역으로 흐른다. 이러한 접근은 웨이퍼 처리구역의 밖으로 이온이 아니라 라디칼을 선별적으로 유지하는데 유용하고 분명하다. 그러한 상황은, 예를들면, 폴리머형성 가스 화학을 사용하는 에칭동안 발생한다. 그러나 폴리머는 챔버 측벽에 부착되고/혹은 원하는 웨이퍼 표면에 부착하지 않는 근원지역에서 형성된다. 그리고/혹은 플루오린 라디칼은 근원 지역에서 형성된다.
제1도는 참조하면, 바람직하게는, 상부언(30)에 알맞은 RF 파워 공급기(31)의 작동 진동수는 고밀도 플라즈마를 공급하고, 민감성 장치에 손실을 감소시키고, 플라즈마에 RF 출력의 효율적인 유도성 연결을 제공하기 위하여 선택된다. 특히, 작동범위의 상위 진동수는 회로-유도된 손실을 최소화하기 위해 제한된다. 작동 진동수의 하한계는 플라즈마와 결합되는 RF 출력의 효율에 적당하게 선택된다. 바람직하게는, LF/VHF (저주파수에서 초고주파까지) 약 100㎑ 내지 100㎒ 범위내의 교류 출력은 사용된다. 더욱 바람직하게는 100㎑ 내지 10㎒ 범위의 LF/HF(저주파수에서 고주파까지) 출력이 사용된다. 더욱 바람직하게는 300㎑ 내지 3㎒의 MF(중간 주파수) 출력이 사용된다.
웨이퍼 지지 음극(32C)용 교류 출력 공급기(42)는 RF 출력을 플라즈마에 용량적으로 결합하여, 이에 의해서 음극 피복 전압 및 이온 에너지를 포함하는 다양한 요소의 조절을 효과적으로 하고, 음극 피복 전압 및 이온 에너지는 고주파수 출력에 의해서 효과된 플라즈마 밀도 조절의 조절된 독립변수이다. 바이어스 주파수는 다수의 목적을 성취하기 위하여 선택된다. 먼저, 상위 주파수 한계는 예민한 장치에 전류유도 충전 손실을 방지하기 위하여 선택된다. 하위 주파수는 전압유도 손실을 배제하기 위하여 선택된다. 하위 주파수 바이어스 또한 기판의 단위 바이어스 출력(더욱 낮은 가열)당 더욱 높은 웨이퍼 피복 전압을 생산하고 플라즈마 밀도에 더욱 낮은 가열)당 더욱 높은 웨이퍼 피복 전압을 생산하고 플라즈마 밀도에 더욱 낮은 기여를 하며, 그래서, 이온 밀도 및 에너지의 독립적인 조절에 더욱 낮은 기여를 한다. 그러나, 너무 낮은 바이어스 진동수는 웨이퍼의 피복 전자의 RF 성분을 이온이 따르도록 허용하고, 이에 의해서 이온 에너지를 모듈레이팅한다. 결과는 더욱 높은 피크 대 평균 에너지 비 및 더욱 넓은 (피크 대 피크) 이온 에너지 분포가 될 것이다. 매우 낮은 바이어스 진동수는 바이어스 진동수 조절동안 이온 유도 공정을 금하는 절연 충전을 일으킨다. 편리하게도, 상기 고려는 근원 진동수 범위에 일치하는 바이어스 진동수 범위를 사용하여 만족될 수 있다는 것을 알았다. 즉, 바람직한 바이어스 출력은 약 100㎑ 내지 약 100㎒(LF/VHF 진동수)의 범위내이다. 더욱 바람직하게는, 바이어스 출력의 진동수는 약 100㎑ 내지 약 10㎒(LF/HF 진동수) 범위내이다. 더욱 바람직하게는, 바이어스 출력의 진동수는 300㎑ 내지 3㎒(MF 진동수)의 범위내이다.
본 발명의 바람직한 특징은 일정한 음극(웨이퍼) 피복 전압을 유지하기 위하여 출력공급(42)에 의해서 제공된 기저 혹은 바이어스 출력을 자동적으로 변화시키는 것이다. 상당히 비대칭인 시스템에서의 낮은 압력 ( < 500 mt)에서, 음극(32C)에서 측정된 직류 바이어스는 음극 피복 전압에 상당히 근접된다. 기저 출력은 일정한 직류 바이어스를 유지하기 위하여 자동적으로 변화될 수 있다. 기저 혹은 바이어스 출력은 플라즈마 밀도 및 이온전류 밀도에 상당히 작은 효과를 갖는다. 상부 및 안테나 출력은 플라즈마 밀도 및 전류 밀도상에 강력한 효과를 가지나, 음극 피복 전압상에 상당히 작은 효과를 갖는다. 그러므로, 플라즈마 및 이온전류 밀도를 형성하기 위한 상부 출력 및 음극 피복 전압을 형성하기 위한 기저 출력을 사용하기 위하여 요구된다.
안테나(30)를 구동하는 근원(31)의 라디오 주파수는 마이크로웨이브 혹은 마이크로웨이브-ECR 적용에 사용된 주파수보다 훨씬 작기 때문에, 값싼 출력 공급기에 의해서 낮은 직류 전류에 작동되는 선택성 작은 자기들은 병합된 작은 가열 부하와 함께 사용될 수 있다. 게다가, 상기 기재에서 명백한 것처럼, 참조번호 31C와 같은 동축 케이블은 파동 가이드 대신에 사용될 수 있다. 게다가, 다른 자기 향상돈 혹은 보조된 시스템에서 EXB 전자 드리프트에 의해서 생긴 플라즈마 비균일성은 여기에서는, 부가된 자장(안테나 (30)를 통해서 적용된 HF 장의 자기성분 및 자기(81)에 의해서 적용된 어떤 자기장)은 음극에서 전장에 실질적으로 평행하기 때문에, 부재된다. 그래서, 시스템에서 EXB 드리프트 전류는 없다.
영구자석에 형성된 자기분류 경로는 웨이퍼에서가 아니라 근원(상부챔버(16A)에서 B 장을 허용하도록 사용될 수 있다.
선택적으로, 영구자석 혹은 전자석은 하부챔버(16B) 주위에 다극 배열 즉, 전형적으로 극을 북-남-북-남---북-남 배열로 교체시키는 상태로, 근원 및/혹은 챔버벽에 다수의 첨두 자기 거울을 형성하기 위하여 배치된다. 자석은 예를들면, 막대자석 혹은 바람직하게는 수평원형 자석에 수직이 된다. 이러한 자석은 벽에 손실되는 전자수를 감소하는데 사용되어서 자장에 웨이퍼를 필요로 함이 없이, 플라즈마 밀도 및 균일성을 향상시킨다.
상기와 마찬가지로, 상부 혹은 안테나 RF 원의 바람직한 작동 주파수 및 기저 혹은 바이어스 RF 원의 바람직한 작동 주파수는 둘다 같은 범위내에 떨어진다. 하나의 선택적인 특징은 두 개의 별개의 근원을 사용하는 대신에 이러한 두 개의 RF 원을 하나의 단일원으로 결합하는 것이다. 더욱 일반적으로는, 가능성은 한 단일원으로부터 3개의 RF 신호(세번째 혹은 상부 전극에 RF 바이어스를 포함) 모두를 공급하거나, 안테나 및 기저 바이어스 그리고 세 번째 전극에 적합한 두 번째 근원에 적절한 하나의 근원을 사용하거나, 혹은 세 개의 별개의 근원을 사용하는 것이다. 별개의 근원들이 사용되는 만큼, 부수적인 고려는 별개의 RF 신호가 주파수가 동일한지 여부이고, 그렇다면 이들은 어떤 요구된 상관계에서 결합되는지 여부이다. 예비 실험은 이러한 질문에 대한 해답이 선택된 작동주파수 상에 주로 의존한다는 것을 나타낸다. 단일 주파수가 RF 원의 두 개 혹은 세 개에 적합하게 선택될 수 있고, 주파수가 시스템이 사용되는 다른 공정에 적합하게 쉽사리 변환될 것 같지 않으면, 단일 RF 원은 논리적 선택이다. 다른 주파수가, 상기 단원 1 내지 3에서 논의된 고려에 근거된, 근원에 필요하거나, 주파수가 다른 공정에 사용되도록 변환될 필요가 되면, 분리된 RF 원은 필요될 것이다. 분리된 근원이 있고 같은 주파수가 선택되는 경우에, 위상 록킹(looking)은 결론이다. 예를 들면, 근원은 안테나에 주입되는 RF 전압과 기저 혹은 웨이퍼 전극에 주입되는 RF 전압 사이의 위상 각은 처리 반복을 적절하게 선택하는 일정한 값에 유지되는 것과 같이 일치된다. 더욱 높은 작동 주파수, 약 10㎒ 정도는 위상 혹은 주파수 록킹에 별개로 나타낸다.
전형적으로, 안테나(30)는 안테나와 동조를 일으키기 위한 발생기(31)의 주파수를 변환시키는 것 ; 혹은 공명을 동조시키기 위해서 안테나에 연결된 분리된 공명요소에 의해서 공명시키기 위해서 동조된다. 예를들면, 이 동조 요소는 가변성 유도 접지 혹은 가변성 용량 접지가 될 수 있다.
주목해야 할 것은, 유도성 및 용량성 동조는 공명 주파수를 감소시킨다. 결과로서, 용량성 혹은 유도성 동조가 변기를 사용할 때, 공명 주파수내 감소분을 보상하기 위한 더욱 높게 요구되는 공명 주파수에 시스템을 맞출 것이 요구된다.
자동 동조기는 바람직하고 동조/부하 가변기를 구동시키기 위하여 임피던스 위상/크기 검출기를 사용함으로써 실시될 수 있다. 제16도 및 단원 9를 참조하면, 선택적으로 반향된 출력 브리지 혹은 VSWR 브리지는 동조 및 부하 가변기를 구동시키기 위하여 사용되지만, 반복도 요구된다.
전도성, 용량성 혹은 유도성 부하 수단(L)은 발생 안테나(30)를 RF 발생기 (31)의 임피던스 및 연결되어 있는 동축 케이블(31C)와 맞추기 위해서 사용될 수 있다. 예를들면, 탭 혹은 와이퍼는 50 옴 혹은 300옴에 있거나 밀접해 있는 안테나 혹은 안테나를 따라서 다른 발생기의 출력 임피던스 위치에 옴적으로 연결될 수 있다. 선택적으로, 가변성 유도체 혹은 가변성 용량체는 안테나상의 발생기 출력 임피던스 포인트(50)와 연결될 수 있다.
제4도 및 제9도를 참조하면, 동조 수단(T)은 근원을 공명시키기 위해서 동조시키기 위하여 발생 안테나(30)에 집적되는 것이 제공된다. 또한 집적부하수단(L)은 발생 안테나(30)의 입력 임피던스를 결합된 출력 발생기(30)(혹은 전송라인(31C)에 결합시키기 위해서 제공된다. 제4도를 참조하면, 한편으로는, 동조수단(T)은 안테나(30)의 한 단부와 RF 접지 사이에 전기적으로 연결된 가변성 용량체이다.
제5도에 도시되어 있는 것처럼, 다른 점에서는, 부하수단(L)은 안테나(30)의 한단부와 RF 접지사이에 전기적으로 연결된 가변성 용량체이다. 또한, 부하수단은 RF 입력을 안테나에 적용하는 가변성 위치 탭(60)이 될 수 있다. 제6도 참조.
제7도에 도시된 바람직한 결합에서, 동조수단(T)은 안테나(30)의 한 단부와 RF 접지사이에 전기적으로 연결된 가변성 용량체이고 부하수단(L)은 안테나의 다른 단부와 RF 접지 사이에 전기적으로 연결된 다른 가변성 용량체이다. 이런 배열에서, RF 입력은 탭 즉, 안테나를 따라서 혹은 그것의 양단부에서 적용된 탭을 경유하여 안테나에 적용될 수 있다. 제8도를 참조하면, 선택적으로, RF 입력연결(66)은 실질적으로 부하의 가변성 용량체(L) 및 안테나 (30)의 단부 사이에, 제9도에 도시된 바와같이, 연결부에 위치될 수 있다.
본 발명은 또한 규소이산화물과 같이 물질의 에칭 속도는 증가되고 규소와 같은 물질에 대해서 규소이산화물의 에칭 선택성은 높은 규소이산화물 에칭 속도를 제공하기 위하여 충분히 높은 바이어스 전압을 사용하고 주기적으로 바이어스 전압을 낮은 값에 펄싱하므로써 증가된다.
제10도를 참조하면, 전형적으로 규소이산화물 (SiO2) 와 같은 물질의 에칭 속도는 바이어스 전압과 함께 증가된다. 그래서, 바이어스 전압을 증가하는 것은 산화물의 에칭 속도를 증가시킨다. 불행히도, 그러나, 집적회로 구조에 결합된 규소/폴리실리콘과 같은 물질의 에칭 속도는 바이어스 전압과 함께 증가한다. 그래서 매우 높은 규소이산화물의 에칭 속도를 제공하기 위하여 충분한 크기의 바이어스 전압을 사용함은 또한 불필요하게 높은 규소 에칭 속도(비록 산화물 에칭 속도보다는 낮지만)에 효과 있고 선택성을 감소시킨다. 상당히 분명하게, 규소이산화물을 에칭 할 때 낮은 직류 바이어스 전압(V1)의 상대적으로 낮은 규소 에칭 속도 특성과 결합된, 높은 직류 바이어스 전압 (Vh)의 높은 산화물 에칭 속도 특성을 구비하는 것을 요구되고 그래서 높은 산화물 선택성이 요구된다.
제11도에 도시된 직류 바이어스 전압 파동체(70)를 참조하면, Vh및 V1특성을 결합하는 이전 단락에서 표현된 가정된 반대 목표는 사실상 높은 기저라인 직류 바이어스 전압(Vh) 및 전압을 낮은 값(V1)까지 주기적으로 펄싱 혹은 모듈레이팅하므로써 폴리머 형성 에칭공정(규소와 같은 물질에 에칭 우세 폴리머를 형성하는 공정)을 수행한다. V1은 제10도에 도시된 규소 에칭 및 규소 증착사이에, 교차점/전압(68) 혹은 이 아래에 있으나, 산화물은 교차점/전압(69) 혹은 이 위에 있다. 결과로서, 보호성 폴리머는 고속 에칭전압(Vh)에 회복하는 동안 에칭을 압박하기 위해서 규소상에 증착되나, Vh에서 산화물의 에칭을 충분히 압박하기 위해서 산화물 상에 증착은 없거나 불충분하다. 바람직하게, V1은 폴리머상에 증착에 의해서 특징되나, 적어도 산화물의 약간 에칭에 의해서 특징된다. 본 발명의 바람직한 실시예에서, 매개변수들 즉, Vh(높은 직류 바이어스 전압), V1(낮은 직류 바이어스 전압), PW(낮은전압(V1)의 펄스폭), Prp(낮은 전압 및 높은 전압 펄스의 펄스 반복 속도 혹은 결합된 폭)의 값은 각각 -400V, -225V, 약 0.1 초 그리고 약 1초이다.
선택적인 접근은 제12도에 도시된 직류 바이어스 전압 파동형(7)이다. 상대적으로 낮은 주파수 전압 변수는 기본적인 바이어스 전압 주파수상에 포개진다. 예를들면, 낮은 주파수(T2)(25㎑(바람직하게는 5 내지 10㎑)이하)는 기저 라디오 주파수(T1)(2㎒ 이하)와 포개지거나 혼합된다. 규소산화물은 절연체이다 ; 전형적으로 규소/폴리실리콘은 매우 얇은 음수 산화층만을 구비한다. 그래서, 낮은 주파수(T2) 직류 바이어스 전압 변수는 충전되기 때문에 산화물 표면에 나타내지 않는다. 그러나, 필수적으로 비절연된 극은 낮은 주파수(T2) 싸이클의 낮은 전압 회유(72)(V1)동안 보호성 층을 형성하므로써 이미 설명된 것과 비슷한 방식으로 낮은 주파수(T2)에 응답한다. 이러한 낮은 주파수 형성층은 높은 주파수(T1) 싸이클의 가변성 높은 전압 회유(73)동안 에칭된다. 언급된 것처럼, 규소이산화물의 비절연성 성질은 T2의 낮은 전압 회유동안 그위에 증착을 방해하는 에칭을 방지하고 산화물 에칭은 T1싸이클의 높은 전압동안 감퇴되지 않게 진행한다.
간단히 하면, 보호성층은 증착 억제없이 빨리 산화물을 에칭하는 높은 주파수 싸이클(T1)의 높은 전압 외유(73) 동안 규소에칭을 억제하는 낮은 주파수싸이클(T2)의 낮은 전압 외유(72)동안 규소상에 형성된다. 그 결과는 상기 펄스/모듈에이팅 접근의 결과와 비슷하게 높은 규소산화물 에칭속도, 상대적으로 낮은 전체적인 규소에칭속도 및 산화물용 높은 에칭 선택이다. 주목할 것은, 펄스/모듈레이팅 접근은 앞의 접근의 정확하게 제어된 성질 때문에 이중 주파수 바이어스 접근에 현재는 바람직하다.
주입단부에 부하 용량체(L) 그리고 더욱 먼 단부에 동조 용량체(T)를 구비하고 입력단부에 상대적으로 낮고 더욱 먼 단부에 훨씬 높은 전압을 가진 전형적인 코일형 안테나(30) 특성을 고려하자 바닥에 조밀한 기저부 코일 권선은 낮은 전압 RF 입력과 연결된다. 전형적으로 플라즈마는 동조체 단조 근처의 상대적으로 높은 전압권선과 결합된 정전장에 의해서 가해지고, 전압 권선은 가스의 붕괴를 정전기적으로 시작함에 의해서 플라즈마를 시작한다. 붕괴초기 다음에, 플라즈마에 결합하는 것은 주로 전자기 즉 유도성이 된다. 이러한 작동은 잘 주지되어 있다. 정상상태 조건하에서, 전형적으로 정전기 연결 및 전자기 유도성 연결은 존재한다. 비록 전자기 연결이 우세하지만, 어떤 공정은 정전장에 민감하다. 예를들면, 폴리실리콘의 에칭은 다른 산화물의 에칭을 피하기 위해서 낮은 에너지 입자 및 낮은 에너지 충격을 요구한다.
제1도 및 제15도를 참조하면, 정상상태 정전장을 감소시키기 위하여, 본 챔버는 선택적으로 패러데이 피복(45)을 결합한다. 제15(a)도에 도시된 하나의 실시예에서, 구조는 접지된 공간의 원통형 배열을 포함하고, 포스트 혹은 바를 축으로 확장하고, 돔벽(17W) 및 안테나(30)를 둘러싸는 “단일” 패러데이 피복(45S)이다. 단일 피복은 큰 공간의 형상에서 피복부 사이에 매우 작은 갭을 구비하는 형상까지 변한다.
제15(b)도는 한쪽바가 다른쪽 갭을 겹치는 반대도 마찬가지가 되도록 한쌍의 단단한 피복공간을 구비하는 소위 “완전한”패러데이 피복(45F)을 도시한다. 이것은 피복을 통해서 전장라인에 적합한 관찰 통로의 라인을 배제하고 이에 의해서 정전장을 제거한다.
비록 패러데이 피복(45S 및 45F)의 다양한 형상이 가능하지만, 현재의 바람직한 형상은 외부로 플랜지되고, 전기적으로 도체이고, 개방된 단부인 제1도에 도시되어 있는 수직 단면도인 원통형 형상이다. 단일 혹은 이중벽의 구멍난 장표면(46,47,48)은 접지면(49)(고체일 수 있다)이 안테나의 외부면에 위치되는 동안) 안테나의 상부, 내부(근원) 및 기저면 주위를 각각 확장한다. 이 형상은 안테나(30)에서 em 파의 축 방향의 자기 성분을 허용해서 안테나의 면내에 있고 평행한 밀페된 루프 전장을 유도하여서 플라즈마를 생성한다. 그러나, 피복(45)은 접지시키기 위하여 용량적으로 직접 전장성분을 제쳐놓고 고주파 전자기 에너지의 직접 전장성분을 플라즈마에 연결로부터 방지한다. 피복(45)이 없다면, 안테나를 따라서 변환되는 전압은 용량성 변위 전류 연결에 적합한 맥스웰 방정식에 일치하여 플라즈마에 연결된다. 이것은 웨이퍼(5)를 가로질러서 플라즈마 밀도 및 에너지에 비균질성 및 그라디언트를 유도할 수 있고, 공정 비균질성 및 고에너지 하전된 입자가 생긴다. 집적 형태로 표현된 패러데이 법칙은 표면 전체에 자장의 변화는 그 표면에 밀폐된 전장을 생성하는 것을 요구한다. 특별한 형상에서 현상을 서술하는 맥스웰 방정식은 유도된 전장의 소용돌이는 자장의 시간에 대한 음의 변화율에 비례한다는 것을 말한다. 사인파 여기의 경우, 유도된 E 의 소용돌이는 피크 진폭뿐만 아니라 변화하는 B 자장의 복사 주파수에 비례한다.
간단히 하면, 불연속이거나 틈새가 있거나 분리된 패러데이 피복은 코일에서 변화하는 em 전장상의 피복 단축 효과를 최소화하고, 와류 전류 손실을 감하고, 라디오 주파수의 연결을 허용한다. 즉, 자장을 플라즈마를 생성하는 폐쇄된 루프 전장을 유도하기 위하여 플라즈마에 축방향으로 테를 두른다. 그러나 플라즈마에 전장(안테나를 따라 바뀐다)을 직접 연결하는 것은 배제하고, 이에 의해서, 플라즈마 비균일성의 어떤 병합된 손실 및 고에너지로 하전된 입자에 적합한 비균일한 처리를 배제한다.
원통형/돔형 근원의 벽부(17W)에서 손실(플라즈마 밀도 감소)을 제거하기 위하여, 자석 배열은 원주 환형(얇은)장을 생성하는 것을 제공한다. 바람직한 배열에서, 즉 제13도에 도시된 수평부 형상에서, 이러한 자장은 축방향의 영구자석 혹은 전자석(76-76)의 밀접하게 간격을 가진 “버캇트”(bucket) 혹은 원통형 다극 배열에 의해서 제공되며, 자석은 각각의 밀폐되고 선택적은 극, 원주 -N-S-N-S- 자장(B)를 형성하기 위하여 작은 범위를 가로질러 자기화된다. 다극 배열은 돔벽부에 다수 커스프 자기 거울(77)을 생성한다. 선택적으로, 배열은 수평링 자석이 될 수 있다. 이러한 자석은 벽부(17W)의 전자 감소를 유도하고, 그래서 웨이퍼를 자장에 노출시킴이 없이 플라즈마 밀도 및 균일성을 향상시킨다.
임의적이며 비슷하게, 영구자석 혹은 전자석은 하부 챔버(16A) 주위에 다극 배열 형태로 설치되고, 전형적으로 교대로 극이 북-남-북-남--북-남의 배열되고, 챔버 벽부에 다수의 끝을 가진 자기 거울을 생성한다. 자석은 예를들면, 수직 막대자석 혹은 바람직하게는 수평 링 자석이 될 수 있다. 이러한 자석은 벽부에 전자 손실을 감소시키는데 사용될 수 있고, 그래서 웨이퍼를 자장에 노출시킴이 없이 플라즈마 밀도 및 균질성을 향상시킨다. 게다가, 자석의 방사형 배열은 상부에서 손실을 감소시키기 위하여 돔의 상부 혹은 원통형 근원의 상부판(17T)상에 장착된다.
제3도를 참조하면 다른면에서, 기판처리구역(16B)내 플라즈마는 처리구역의 근원구역/상부의 기저부에 자석의 일반적인 평행 그리드를 설치하므로써 생성 혹은 근원 구역에서 플라즈마로부터 분리된다. 자석 그리드는 위에서 논의된 버캇트 배열과 같이 봉의 한쪽에서 방향지어지고 다른쪽에서 끝나는 자장라인과 함께 평면 배열인 -NS-NS-NS 자장을 제공하기 위하여 작은 규모를 가로질러 NS 로 자기화되는 밀접하게 간격을 가진 일반적으로 평행한 막대자석(78-78)을 구성한다. 근원의 개구부(15)를 가로질러 생성되는 일반적으로 평면 자기필터(79)는 판의 평면/구역에 자장을 한정하고 근원 혹은 웨이퍼 처리 구역으로 관통하지 않는다.
F = qV ×B 식에 의해서, 근원에서 고에너지/고속도 전자는 이온의 경우에서 보다는 이러한 자장(79)에 의해서 더 큰 영역으로 휘어지거나 축출되고, 가판처리 구역으로 관통될 수 없다. 이것은 처리구역(16B)에서 고에너지의 전자 밀도를 감소시키고 그 구역에서 플라즈마 밀도를 감소시킨다. 처리구역 및 근원 구역은 분리된다.
이러한 필터 자기 한정 접근은 조밀 시스템내 플라즈마 구역을 분리하는데 특히 유용하다. 즉, 예를들면, 조밀성이 유지되는 동안, 기판에서 이온의 고밀도가 없이 방사형 고밀도를 제공하는데 사용된다. 반대로, 종래의 접근은 조밀성의 희생아래 기판 및 근원사이의 거리를 증가시킬 것을 요구한다. 하나의 바람직한 배열에서, 여과 자기 한정은 냉각용 구멍이 뚫린 막대자석 및 길고 얇은 자석을 구비한 가공된 알루미늄판에 장착된다.
버킷 자기 한정 배열 및 여과 자기 한정 배열은 함께 사용될 수 있다.
상기된 것처럼, 제3도에 도시된 하나 이상(바람직하게는 적어도 두 개)의 영구자석 혹은 전자석 (81-81)은 코일형 안테나의 수직 평면 및 안테나에서 방사되는 RF 라디오 주파수에 의해서 유도된 전장에 수직인 정적이고 일반적으로 자장축을 형성하는데 사용될 수 있다. 바람직하게는, 하기와 마찬가지로, 세가지 자장형태 즉, 균일, 발산 혹은 자기거울 중 한가지 형태가 사용된다.
제14(a)도를 참조하면, 자석 (81-81)에 의해서 웨이퍼(5)에 수직으로 작용하는 동질이고 균일한 자장축(82)은 벽부로 전자의 구동을 제한한다. 고주파수전장 변환에 따르지 못하는 이온 때문에, 이온은 전자 결핍을 따르고, 웨이퍼 위의 플라즈마에 집중된다. 최대효과를 위해서, 이것 및 다른 정적 자장은 고주파수 전자장 즉 Ω=2πF =Be/m, 여기에서 B 는 자기 플럭스 밀도 그리고 e 및 m 은 각각 전하 및 무게에 공명을 맞추기 위해서 동조될 수 있다.
발산되는 자장 (83)은 제14(b)도에 도시되어 있다. 자기운동에너지 보존에 의해서, 자장축의 기울기는 원형 전송에너지를 축의 전송에너지에 맞추어 변환되고 전자 및 이온을 더욱 강한 자장 구역에서 더욱 약한 자장구역으로 구동하는 경향이 있다. 분산되는 자장은 전자 및 이온을 플라즈마 생성구역에서 밀쳐내는데 사용될 수 있고 웨이퍼에 플라즈마를 집중시키는데 사용될 수 있다.
제14(c)도 및 제14(d)도를 참조하면, 벌징 혹은 에이딩 자장(84)(제15(c)도 참조) 및 첨단부 혹은 작용되는 자장(85)(제15(d)도 참조)이 각각 도시되어 있다. 이러한 소위 “자기거울”의 각각의 효과는 축의 발산 자장의 효과 즉, 하전 입자는 상대적으로 강력한 자장구역 (t 여기에서는 단부)에서 상대적으로 약한 자장인 중심구역을 향하여 구동되는 것과 비슷하다.
자석을 선택적으로 위치시키고 단일자석 혹은 결합된 자석에 의해서 형성된 자장의 강도를 선택하고 변환시키는 것은 웨이퍼에 돌라즈마 밀도를 증가시키기 위해서 제한된 방식으로 결합된 균일성, 발산, 혹은 자기거울장을 형성한다. 자기 거울장의 경우, 최대 플라즈마 밀도 향상에 적합한 바람직한 웨이퍼 위치는 벌지 혹은 첨단부에 밀접하게 근접하여서, 최대 플라즈마 밀도 향상을 제공한다.
플라즈마 생성을 향상시키기 위하여 안테나의 부피에서 자장축을 이용하나, 웨이퍼에 자장을 제거하기 위하여 사용하는 것도 바람직하다. 고자기 투과성 재료 (연철용 니켈 혹은 강철과 같은 재료)의 환형 디스크는 웨이퍼(5) 위 그리고 자석 및 안테나의 평면 아래에 끼워질 수 있다.
적절한 자장은 이온 및 전자를 웨이퍼를 향하여 추출하도록 사용될 수 있다.
다음 기재는 제16도에 도시되어 있는 제어시스켐을 참조하여 여기에서 사용된다.
제16도는 출력 공급기를 포함하여 다양한 구성요소를 제어하기 위해 예시된 시스템을 도시한 블록도이다. 여기에서, 시스템 조절기 (86)는 안테나 출력공급기(31), 임피던스 브리지(bridge) (87), 안테나 (30), 바이어스 출력 공급기(42), 임피던스 브리지 (88), 매칭 네트워크(43), 그리고 음극(32)과 연결된다. 처리 안테나 출력 매개변수 및 직류 바이어스, 즉 이온 플럭스 밀도 및 이온 에너지에 적합하게 선택된 것, 은 조절기 (86)에 주입되는 것으로 공급된다. 조절기 (86)는 또한 가스 흐름, 챔버 압력, 전극 혹은 웨이퍼 온도, 챔버온도, 및 다른 것들과 같은 매개 변수를 제어한다. 조절기는 초기 공조(1) 및 부하(1) 조건을 안테나(30)에 연결된 Tsp1 및 Lsp1 라인상에 신호를 보내므로써 예정될 수 있다. 조절기는 또한 초기 공조 (2) 및 부하 (2) 조건을 매칭 네트워크(43)에 연결된 Tsp2및 Lsp2라인상에 신호를 보내므로써 예정될 수 있다. 전형적으로, 이러한 조건들은 플라즈마 초기화(가스분리) 조건을 최적화하기 위해서 선택된다. 출력은 먼저 안테나(30) 혹은 음극(32)에 적용될 수 있거나, 혹은 동시에 두곳에 적용될 수 있다. 조절기(86)는 Psp1상의 점을 안테나 출력공급기(31) 및 Psp2상의 점을 바이어스 출력공급기(42)에 동시에 혹은 연속적으로 (어떤 순서로든)정하도록 출력을 내보낸다.
전자 사태 항복(avalanche breakdown)은 급격히 가스상태에서 발생하여서 플라즈마를 생성한다. 조절기(86)는 안테나 쪽으로/로부터 전방 출력(Pf1) 반향 출력(Pr1)을 조절하고, 음극(52) 쪽으로/로부터 전방 출력(Pf2) 및 반향 출력(Pr2)을 조절한다. 직류 바이어스 전류 (음극 대 양극의 직류전압)은 조절기 (86)에 의해서 도시된 것처럼 또한 제어된다. 조절기는 코일형 (1) 동조기 및 부하(1) 매개변수 (a) 전방출력(Pf1) 및 반향출력(Pr2)혹은 (b) │Z1│의 임피던스 크기 및 phil 보다 작은 임피던스 위상에 근거하여 Tsp1및 Lsp1라인상의 정해진 점을 발산하므로써 조절된다. 브리지(87)는 임피던스 크기 및 위상 각 정보를 조절기에 공급한다. 안테나 (30) 는 반향 출력(Pr1)이 실질적으로 영이고 임피던스 (크기 및 위상 │Z1│< phi)는 코일형 전력 공급 출력 임피던스 (제로방향 전력조건 및 복소수 임피던스 전력은 최소화 되거나 임피던스는, 같은 결과로, 연결될 수 있다. 선택적으로, VSWR (전압 유지파 비율) 혹은 반향 상수는 최소화 될 수 있다.)의 복소수이다. 조절기(86)는 음극(32)을 조정하고 매칭 네트워크(43) 동조기(2) 및 부하(2) 매개변수를 (a) 전방전력(Pr2) 및 반향 전력(Pr2) 혹은 (b) 임피던스 크기(│Z2│) 및 phi2이하인 임피던스 위상에 근거하여 Tsp2및 Lsp2라인상의 고정된 점을 발산하므로써 조정한다. 브리지 (88)는 임피던스 크기(│Z2│) 및 위상( < phi2) 정보를 조절기 (86)에 제공한다. 매칭은, 안테나 매칭과 비슷하게, 반향전력(Pr2)이 실질적으로 영이고 임피던스 (크기는 │Z2│)이고 위상은 phi2미만) 가 바이어스 전력 공급기(504)의 출력 임피던스일 때 발생한다. 직류 바이어스는 조절기에 의해서 조절되고, 조절기(86)는 원하는 정도의 직류 바이어스를 얻기 위하여 바이어스 전력 공급기의 출력 전력을 변환시킨다. 조절기 (86)는 원하는 정도의 직류 바이어스 양으로부터 직류 바이어스의 측정된 값을 감한다. 차가 음수이면, 바이어스 전력 공급기의 출력은 증가한다. 차가 양수이면, 바이어스 전력 공급기의 출력은 감소한다. (더욱 높은 바이어스 전력 공급기의 출력은 더욱 음수인 직류 바이어스를 발생시킨다). 비례적으로, 비례적-집산, 혹은 비례적-집산-유도성 제어가 혹은 다른 제어기는 본 방법에 일치하여 사용된다.
선택적으로, 일정한 직류 바이어스를 유지하기 위하여, 바이어스 전력 공급기(42)의 출력을 조정하는 바람직한 실시예 대신에, 일정한 바이어스 전력 공급기 출력은 사용될 수 있다.
상기의 직류 바이어스 서보-매칭 기술이외에, 작동 동조방법은 피크-대 피크 RF 전압에 서보잉하므로써 또한 수행될 수 있다. 후자 방법은, 예를 들면, 장치를 구동시키기 위한 전류를 공급하기 위하여 음극 및 양극에 충분한 전도성 표면적을 요구하는 어떤 에칭 처리방법에 잇점이 된다. 폴리머 코팅 기술의 사용은 이러한 유도성 면적을 수동화하고 장치를 만족시키고 바른 판독을 얻는 것으로부터 전류를 방지한다. 반대로, 피크-대-피크 RF 전압방법은 효과가 없고 특히 바람직한 주파수 범위의 낮은 주파수에서 효과가 없다. 측정은 매칭 네트워크(43)를 음극보다는 챔버에 밀접하는 곳에서 할 수 있다.
제어기(86)는 중앙 제어기, 혹은 제어기의 분산된 시스템 일 수 있다.
턴 온/턴 오프 연속은 민감한 웨이퍼 장치 구조에 중요하다. 일반적으로 근원을 첫 번째에서 온하고 마지막에서 오프하도록 돌리는 것이 바람직하다. 이것은 피복 전압 변화는 이러한 방법으로 최소화 되기 때문이다. 어떤 응용의 경우, 바이어스를 첫 번째에서 온 하는 것이 바람직하다.
미합중국 출원번호 제599,947호, 낮은 특성 임피던스 매칭 네트워크에서 웨이퍼로 짧은 전송라인 및 전송라인을 따라서 복귀 통로를 통하여 주입되는 것을 필요로 하는 적절한 동축/전송 라인 디자인, 은 대응 출원으로서 자세히 기재되어 있다. 본 도안 요구는 음극(32C), 집중 환형 전도체(320), 및 비 공극 낮은 손실 절연체(32I)를 구성하는데 제1도에 도시된 집적 전송라인 구조에 의해서 만족되고, 상기 절연체(32I)는 음극 (32C)을 둘러싸고 집중 환형 전도체(320)로부터 음극을 절연시키고 항복이 일어날 수 있는 처리가스를 설치한다. 예를 들면, Teflon 사 제품 혹은 수정 재료는 높은 분극 강도, 낮은 분극 상수 및 낮은 손실을 구비하기 때문에 바람직하다. 이러한 구조의 입력 측면은 하기의 방식으로 매칭 네트워크와 연결된다. 절연된 음극(32c) 및 외부 전도체(320)는 매칭 네트워크(32) 및 플라즈마(16) 사이에 분리된 전류 통로를 공급한다. 하나의 가역 전류 통로는 음극(32C)의 외부를 따라서 매칭 네트워크에서 챔버(전극)표면에 있는 플라즈마 피복까지이다. 두 번째 가역 통로는 챔버 벽부(12)의 상부 내면부를 따라서 그리고 전도성 배출 매니폴드 스크린(29)을 따라서 및 외부 전도체(320)의 내부를 경유하는 플라즈마로부터 매칭 네트워크까지이다. 주목할 것은, 배출 매니폴드 스크린(29)은 균일한 방사형 가스 펌핑시스템의 일부분 및 RF 전류의 복귀 통로이다.
교류 에너지의 응용동안, RF 전류 통로는 바른 방향 및 역방향 사이를 왕복한다. 전송라인 구조(32)의 구성의 동축 케이블형 때문에 특히, (외부에 대해서) 음극(32C)의 더욱 높은 내적 임피던스 및 (내부면에 대해서) 전도체(320)의 외부면을 향한 더욱 높은 임피던스 때문에, RF 전류는, 동축 전송라인 반식으로, 음극 (32C)의 외부면에 가해지고 외부 전도체(320)의 내부면에 가해진다. 표면효과는 RF 전류를 전송라인의 표면 근처에 집중시켜서, 전류 통로의 효율적인 단면을 감소시킨다. 큰 웨이퍼, 예를 들면 직경이 4 내지 8인치인 웨이퍼의 사용 및 보완적으로 큰 직경의 음극(32C) 및 큰 직경의 외부 전도체(320)의 사용은 전송 라인 구조를 따라서 효율적인 큰 단면, 낮은 임피던스 전류 통로를 제공한다.
또한 순수저항에서 끝나는 동축형 전송라인 구조가 특성 임피던스(Z0)와 같다면, 매칭 네트워크는 일정한 임피던스(Z0)를 나타낸다. 즉 전송라인의 길이와 무관하다. 그러나, 이것은 여기에서 경우는 아니다. 플라즈마는 압력 및 전력의 범위 이상에서 작동되고, 다른 가스를 구성하기 때문이고, 가스는 플라즈마가 전송라인(32)의 단부에 제공하는 부하 임피던스(Z1)을 집합적으로 변환시킨다. 부하 (Z1)은 비이상형 (즉, 손실이 없음) 전송라인(32)으로부터 어긋나기 때문에, 정재파(standing wave)는 전송라인 및 매칭 네트워크(43)사이에 저항적이고, 분극적인 손실을 증가한다. 비록 매칭 네트워크(43)가 어떤 정재파를 제거하는데 사용될 수 있고 매칭 네트워크 후미의 주입부로부터 증폭기 혹은 전력 공급기(42)까지 연속적으로 손실이 발생하더라도, 매칭 네트워크, 전송라인 입력(32) 및 챔버 내부의 폴라즈마는 전송라인(32) 및 매칭 네트워크(43) 사이에 저항적이고 분극적인 손실을 증가 시키는 공명 시스템을 구성한다. 간단히 하면, 부하 임피던스 (Z1)는 손실과 어긋나지만, 손실은 Z1= Z0일 때 최소가 된다.
부하가 일치하지 않은 것에 기인하는 손실을 감소시키기 위하여 동축형 전송라인 구조(32)는 플라즈마 작동과 병합된 부하 임피던스의 범위에 가장 적절한 특성 임피던스 (Z0)를 구비하도록 고안된다. 전형적으로, 상기 작동 매개 변수 (예: 약 0.3 내지 3MHZ 범위의 바이어스 진동수) 및 관심있는 재료의 경우에, 플라즈마에 의해서 전송라인에 부여된 일련의 등가 RC 부하 임피던스 (Z1)는 약 10옴 내지 100옴 범위내의 저항값 및 약 50 피코패래드 내지 약 400 피코패래드 범위의 용량을 구성한다. 결론적으로, 적절한 것으로서, 전송라인 특성 임피던스 (Z0)는 약 30 내지 50옴인 부하 임피던스 범위내에 중심이 된 것으로 선택된다.
매칭 네트워크와 만나는 플라즈마 임피던스의 변환을 피하기 위하여 전송 라인(32)은 매우 짧아질 필요가 있다. 바람직하게는, 전송라인은 λ/4 보다 작고, 더욱 바람직하게는, 약 (0.05 내지 0.1) ×λ값이다.
또한 전력의 효율적인 연결을 위하여, 복귀 전도체(320)의 내부직경(단면)은 중심 전도체(32C)의 외부직경(단면)보다 상당히 크지 않아야한다.
간단히 말해서, 챔버는 매칭 네트워크(31)에서 플라즈마까지 전력을 연결하는 전송라인 구조를 병합한다. 전송 라인 구조는 (1) 바람직하게는, 플라즈마 임피던스의 불필요한 전송을 방지하기 위해서, 관심있는 주파수에서 λ/4 보다 매우 짧게 비유되거나, 선택적으로 거의 λ/2 정수값과 같고, 플라즈마와 매칭 네트워크 사이에 있는 라인상의 정재파의 존재에 기인한 손실을 능가 위하여 선택된 특성 주파수(Z0)를 가지고; (3) 중심 전도체의 경로 단면보다 실질적으로 크지 않는 외부 전도체 통로의 단면을 사용한다.
처리실 시스템(10)내에 병합될 수 있는 온도 제어기는 가스주입 매니폴드의 내부 및/혹은 외부 온도를 일정한 값의 상하 혹은 일정한 범위내에 유지 유체 열전도체의 사용; 음극(32C)의 저항열; 음극(32C)의 유체열 전송 가열 혹은 냉각; 웨이버(15) 및 음극(32C) 사이에 있는 가스열 전도체의 사용; 챔버벽부(12-14)및/혹은 돔(17)을 가열 혹은 냉각시키기 위하여 유체열 전도체의 사용; 그리고 웨이퍼(15)를 음극(32C)에 연결하기 위한 역학적 혹은 정전기적 수단을 물론 이에 한정되지는 않지만, 포함한다. 이러한 장치는 미합중국 특허 제4,872,947호 및 제4,842,683호에 기재되어 있으며, 참고문헌으로 인용한다.
예를 들면, 재순환이 가능한 밀폐된 루프 열 교환기(90)는 웨이퍼 지지대를 냉각 (및/혹은 가열)하기 위하여, 흐름 통로(91)로 도시되어 있는 것처럼, 웨이퍼 지지대/음극(32C)의 블록 혹은 축받이(pedestal)를 통하여 유체, 바람직하게는 유전성 유체를 흐르도록 사용될 수 있다. 규소 산화물 에칭의 경우, -40℃의 유전성 유체의 온도가 사용된다. 장기와 마찬가지로, 웨이퍼(5)와 웨이퍼 지지대(32) 사이의 열 전달은 웨이퍼와 지지대 표면에 있는 헬륨(He)과 같은 불활성가스에 의해서 향상된다.
챔버벽부 및 돔은 공기대류(공기주입) 및/혹은 유전성 유체의 열교환기에 의해서 가열 및/혹은 냉각될 수 있다. 예를 들면, 밀폐된 회로 열 교환기(92)는 챔버측벽을 관통한 통로(93)를 따라서 가열에서 냉각까지, 즉 +120℃에서 -150℃까지 범위로 조정된 온도에서 유전성 유체를 재순환 시킨다. 비슷하게, 돔측벽(17W) 및 상부(17T)는 유체를 두 개의 통로(95 및 97)를 따라서 각각 재순환 시키는 두 개의 열교환기(94 및 96)에 의해서 가열 및/혹은 냉각될 수 있다.
유전성 열 제어 시스템에서, 코일형 안테나(30)는 재순환하는 유전성 유체속에 잠겨 있는 돔의 두겹의 벽부(17w)들 사이에 장착된다.
돔의 유전성 유체 열제어기의 다른 실시예에서, 안테나(30)의 코일은 플라스틱 혹은 Teflon 사 제품이 고온에서 포함되고, 열전도 열성수지는 병합된 안테나 및 돔 사이에 적용되고, 그리고 중공형 코일은 코일을 통하여 유전성 유체를 흐르므로써 가열 및/혹은 냉각된다. RF 에너지는 코일에 적용되기 때문에 그리고 근원 플라즈마에 접근하기 때문에, 유전성 오일은 수용 가능한 유속에서 충분한 열 교환기에 적합한 고비열 및 밀도를 구비한 이외에 좋은 유전성 및 절연성 특성을 구비하고 끓는점이 높아야 한다. 하나의 적절한 유전성 유체는 듀퐁사에서 상용화하고 있는, 실서름(siltherm)이다.
제1도를 참조하면, 현재의 바람직한 실시에에서, 본 챔버는 신규한 공정제어 및 향상에 기여하는 유일한 세 개의 전극배열을 병합한다. 배열은 음극(바람직하게는 웨이퍼 지지전극(32)), 양극(바람직하게는 챔버 측벽 및 바닥) 그리고 돔상부판(17T)을 포함하는 상부전극을 포함한다. 상부 전극은 다양한 형상을 포함하고 다양한 재료; 즉 전도성 재료, 바람직하게는 알루미늄; 양극화 된 알루미늄과 같은 분극성 코팅재료; 알루미늄-규소 합금과 같은 규소 혹은 규소함유 재료의 형태가 될 수 있거나 혹은 규소 웨이퍼, 물론 이에 한정되지는 않는다, 와 같은 sacrificial 규소 요소를 포함한다.
접지된 상부판(17T) 은 (벽부(12)에 의해서 제공된 종래의 보조에 비해서) 바이어스 전압에 적합한 접지 보조판을 향상 시키고 결과로서 근원(164)으로부터 처리구역(16B)으로 이온의 여기를 향상시키고 그래서 (에칭 속도와 같은) 처리속도를 증가 시킨다. 게다가, 접지된 상부판은 웨이퍼와 근원에서 발생된 플라즈마의 결합을 향상시킨다.
유리규소를 근원 플라즈마에 공급하는 결합상태에서 RF-바이어스된 세 번째 전극을 사용함(규소함유 요소를 포함하거나 입혀진 전극을 사용함) 에칭 속도 및 선택성을 포함하는 다양한 처리 특성을 향상 시킨다. 근원 플라즈마의 강력한 결합 특성에 의해서 바람직한 것은, 규소는 가스상태로 들어가고 유리 플루오린과 함께/배기되어 결합한다. (근원 플라즈마의 결합 특성은 플루오린 함유 기체 상태가 에칭 산화에 사용될 때 고농도에서 발생한다. 이것은 폴리실리콘과 같은 결합된 웨이퍼 재료의 에칭 속도를 증가시킬 뿐만 아니라 산화물의 에칭속도도 증가시키고, 그래서, 산화물을 다중 선택성으로 감소시킨다). 유리규소에 의해서 제거되는 플루오린은 폴리머를 증착하는 경향이 작은 소위 “라이터” 폴리머 화학 물질의 사용을 허용하여서, 챔버상에 포함되고 산화물의 양벽상에 포함된다. 그 결과는 산화물 에칭 속도를 증가시키고, 폴리머에 대해서 산화물 선택성을 증가시키고, 산화물 에칭의 이방성 및 수직형상을 향상시고 미소부하를 감소시킨다. 게다가, 유리 실리콘은 중합반응에 영향을 끼치고, 폴리실리콘 에칭속도의 향상된 억제 및 규소에 대해서 증가된 산화물 선택성을 갖춘, 바람직하게는 산화물에 대해서, 실리콘상에 더욱 안정적인 표면 안정 폴리머 증착이 생성된다.
게다가, 규소를 함유하는 세 개의 전극은 폴리실리콘 표면상에 폴리머를 형성하기 위하여 CO 및/혹은 CO2와 같은 탄소 및 산소를 함유하는 가스 첨가물을 사용하여 작동한다. 이것은 규소 에칭의 억제를 증가시키고 규소에 대해서 산화물의 선택성을 향상시키고 산화물상의 폴리머 측벽 증착을 증가시키고 그래서 산화물의 에칭 이방성 및 수직 측벽 에칭을 향상시킨다. 여기에서 “상승작용”이라는 용어를 사용하는데, 그 이유는 규소 함유 전극의 사용과 함께 탄소 및 산소 함유 가스 화합물을 결합하는 것으로부터 상기 공정의 향상은 이러한 두 개 형상의 개별적인 잇점의 부가보다는 크기 때문이다. 게다가 CHF3인 주요 부식물을 함유하는 가스 화학상태에서 이러한 형상의 사용은, 다른 플루오린 화합물에 대한 폴리실리콘 에칭 속도가 감소함에 따라, 산화물 에칭 속도가 증가한다는 점에서 상승작용한다는 것이다.
규소 웨이퍼의 규소 산화물상의 폴리실리콘은 약 2mt 내지 20mt 범위내 압력; 50cc 염소 (Cl2) 부식액 가스 유속 (단지 매니폴드 (G1)에서만); 1500 와트의 근원 전력 ; -20볼트의 바이어스 전압; 그리고 접지된 상부 전극 (규소 없음)을 사용하는 세 개 전극을 갖춘 챔버내에서 에칭되고, 분당 3500 내지 400Å의 폴리실리콘 에칭속도, 수직에칭 프로파일, 및 폴리실리콘의 산화물에 대한 100:1 미만의 선택성을 제공한다.
실리콘 웨이퍼상의 규소산화물의 두단계 바이어스 스퍼터 증착은 약 2mt 내지 약 10mt 범위의 압력(두단계 모두) : 아르곤이 약 200cc, 산소가 약 90cc, 실란이 약 45cc(두단계 모두 그리고 매니폴드(G1)에서만)의 가스유속 ; 접지된 상부 전극(두단계 모두) ; 그리고 (첫단계에서) 약 -20볼트의 전압 및 (두번째 단계에서) 약 100 내지 200볼트의 전압을 사용하여 세 개의 전극을 갖춘 챔버내에서 수행되고 이에 의해서, 첫 번째 단계동안 분당 7500Å 미만의 증착 및 두 번째 단계동안 분당 약 4000 내지 5000Å의 총산화물 증착(프로파일 제어 스퍼터링과 함께 증착)을 제공한다.
폴리실리콘 위의 규소 산화물은 2 내지 30mt 의 압력 ; CHF3의 경우 30 내지 60scm, CO 혹은 CO2의 겨우 6 내지 18sccm, 그리고 아르곤의 경우 100내지 200sccm 인 가스화학물 유속 ; (주입부 매니폴드(G1)에서만) ; 200와트의 근원전력 ; 200볼트의 바이어스 전압 ; 규소 디스크(17S)가 장착되고 2㎒ 그리고 1000와트의 RF 에너지에 의해서 바이어스된 상부전극(17T)을 사용하여 세 개의 전극을 갖춘 챔버내에서 에칭된다. 규소산화물은 폴리에 대한 산화물의 선택성이 50:1 인 분당 8000Å의 유속에서 에칭된다. 선택적으로, 규소함유물은 수정으로 된 돔 벽 (17M) 상에 코팅되는 실리카에 의해서 보충된다.
반도체 제조공정에서 중요한 것은 하부층이 폴리실리콘 일 때 규소 이산화물의 두께를 결정하는 에칭방법이다. 고도의 선택성이 요구되고, 그래서 규소산화물을 어떤 노출된 폴리실리콘이 전혀 심각하게 에칭되지 않는 반면에 상대적으로 높은 속도에서 증착될 것이다. 불행히도 폴리실리콘은 보통 규소산화물보다 빠르게 에칭된다. 이 문제점에 대한 종래의 해결책은 DF4혹은 수소 혹은 메탄과 결합 CHF3와 같은 탄소 산소 및 플루오린의 결합물을 플라즈마 기상에 유입하는 것이다. 결과는 폴리실리콘 상에 얇은 표면안정층이 생성되고, 에칭은 규소 산화물상에 상당히 빠른속도로 계속될 수 있다. 불행히도, 고밀도의 플라즈마는, 근원구역에서, 주입가스의 원자성분으로 분해되고, 웨이퍼상에 형성된 두꺼운 폴리머층은 작은 기구형상의 에칭을 더욱 어렵게 만든다. 이점에서 중요한 개념은 1-(에칭속도비)와 같이 정의 된 “미소부하”이다. 여기에서 에칭속도비는 큰형상에서 에칭속도에 대한 작은 형상에서의 에칭속도비이다. 그래서, 에칭처리가 작은 속도에서 작고 큰 형상을 에칭하는 것의 요구되는 성질이 있다면, 미소부하는 1-1/1 = 0 일 것이다. 더욱 느린속도로 더욱 작은 형상을 에칭하는 처리에서, 미소부하값은 1.0 이 되는 경향이 될 것이다.
높은 에칭 선택성을 얻기 위해서, 상기 에칭응용의 어려움은, 플라즈마 상태에서 상당히 많은 폴리머 형성 가스를 사용하지만 폴리머층은 0 보다 큰 미소부하값을 갖는 것이다. 전형적으로, 약 0.1 미소부하값과 함께 선택성비는 10:1 보다 나아지게 얻을 수 없다는 것을 기대한다. 그러나, 미소부하값이 실제로 영이고 선택성 비는 30:1 혹은 40:1의 높은 비를 원하는 많은 응용이 있다.
고밀도 플라즈마 근원구역의 경우, 동시에 폴리실리콘을 에칭하는 생산품의 하나는 플루오린이다. 앞에서 언급된 것처럼, 규소는 유리 플루오린 라디칼의 근원구역을 제거하기 위하여 사용될 수 있다. 규소는 세 개의 전극(17T) 혹은 챔버의 내부벽(17W)상에 입혀진 형태로 얻어지고, 규소층의 두께는 RF 에너지가 플라즈마에서 안테나(30)에 공급되는 주파수와 함께 상당하다. 이러한 매개변수는 충분한 에너지가 챔버벽을 통하여 전자기적으로 결합될 것을 확인하기 위하여 선택되어져야만한다. 규소가 참조번호 17T 에 지시된 것처럼 세 개의 전극으로 병합된다면, 규소두께는 중요하지 않다. 어떤 경우에는, 규소가 근원구역에서 유리 플루오린을 결과는 챔버밖으로 쉽게 펌핑될 수 있는 휘발성 가스인 규화 플루오린(SiF4)의 형성이다. 플루오린이 이러한 방법으로 제거된다면, 폴리머 형성가스의 낮은 농도는 필요하고 웨이퍼 위에 두꺼운 폴리머 층을 증착하는 경향이 적다. 두 개의 기구에 의해서 선택성은 개선된다. 먼저 플루오린의 제거는 폴리실리콘의 에칭속도를 감소시키고, 두 번째는, 근원 구역에서 규소의 존재는 폴리머층이 형성되는 방식을 영향끼친다. 이러한 이유는 아직 충분히 이해되지 않지만, 폴리머층은 규소 산화물층 보다는 폴리실리콘층에서 더욱 빨리 형성되고, 다시 에칭처리를 향상시킨다.
규소재료는 처리동안 폴리머에 코팅되고, 실질적으로 효율적이 될 수 있다. 규소가 가열되면, 이것은 폴리머 형성을 감소시키고, 규소가 전기적으로 바이어스되면, 폴리머가 표면밖으로 스퍼터되어서 규소가 다시 노출되도록 충분히 규소층에 대한 충격을 증가시키는 것이 가능하다. 그러므로, 본 발명의 바람직한 현 실시예중 하나는 바이어스된 전극위에 규소를 사용하지만, 규소는 선택적으로 바이어스 되지 않은 전극층 혹은 챔버벽으로 병합될 수 있다.
단원 12에서 언급된 것처럼, 근원 구역에서 CO 혹은 CO2와 같은 가스의 사용은 에칭수행을 향상시킨다. 특히, 폴리실리콘을 에칭하기 위한 플라즈마의 능력은 능가되고 폴리실리콘에 대한 규소산화물의 에칭 선택성은 향상된다. 게다가, 산화층 위의 폴리머 측벽 증착은 감소되고, 그래서, 산화물의 에칭 이방성 및 수직 측벽 에칭 프로파일은 향상된다. 비록 바람직한 실시예는 다른 관련된 향상, 즉 바이어스된 상부 전극으로 병합된 규소와 함께 산소를 함유하는 첨가가스를 사용하는 것이지만, 산소를 함유하는 첨가가스는 바이어스된 상부 전극없이 그리고 근원구역에서 플루오린을 배출하기 위하여 규소를 동시에 사용함이 없이 약간의 잇점에 사용될 수 있다.
본 발명의 바람직한 형상은 일정한 음극(웨이퍼) 피복 전압을 유지하기 위하여 “바닥”전류를 자동적으로 변화시키는 것이다. 상당히 비대칭인 시스템에서의 500mt 미만의 낮은 압력에서, 음극에서 측정된 직류 바이어스는 거의 음극피복 전압과 비슷하다. 바닥전력은 자동적으로 일정한 직류 바이어스를 유지하기 위하여 변화될 수 있다. 바닥전력은 플라즈마 밀도 및 이온전류밀도에 아주 작은 영향을 미친다. 상부 혹은 안테나 전력은 플라즈마 밀도 및 전류밀도상에 아주 큰 영향을 끼치지만, 음극 피복 전압 상에는 아주 작은 영향을 미친다. 그러므로, 플라즈마 및 이온전류 밀도를 형성하기 위하여 상부 전력을 사용하는 것이 요구되고, 음극 피복전압을 형성하기 위하여 바닥전력을 사용하는 것이 요구된다.
그라운드에 대해서 웨이퍼(5)를 바이어싱하기 위한 선택성으로서, 바이어스 매칭 네트워크(43) 및 상부판(17T)은 접지되지 않을 수 있고 제1도 및 제2도의 점선(50)에 의해서 지시된 것처럼, 서로 다른 것에 대해서 참조된다. 제2도를 참조하면, 상부판은 상부판 및 웨이퍼 사이에 전압(VT-SS)이 상부판 및 벽부(12) 사이의 전압(VT-W)의 크기보다 거의 두배이고, 웨이퍼 및 벽부 사이의 전압(VSS-W) 크기보다 거의 두배가 되도록 달리 구동되고 맞춰진다. 이러한 균형된 특이한 구동은 벽과 플라즈마와의 상호작용을 감소시키고 근원구역(16A) 및 웨이퍼구역(16B) 사이의 상호작용 즉 이온여기를 증가시킨다.
본 발명의 플라즈마 반응시스템은 전극(음극) 위에 있는 기판(5) 및 전극 위의 돔(17)을 둘러싸는 안테나(30)를 갖춘 종래의 방향으로 제1도에 도시되어 있다. 편리하게도, 안테나(30)에 공급된 전력을 “안테나” 혹은 “근원”, 혹은 “상부” 전력으로 언급하였고, 전극/음극(32)에 공급된 전력을 “바이어스” 혹은 “바닥” 전력이라고 언급하였다. 이러한 표현 및 지정은 편리하기만하고, 상기 시스템이 바뀔 수 있다. 즉, 상부의 전극(32) 및 이 전극 아래에 위치된 안테나로 형상화될 수 있다는 것을 이해하거나, 보완없이 수평적으로와 같이 다른 방식으로 방향이 정해질 수 있다. 바뀐 형상에서, 플라즈마는 안테나 (3a)에서 생성될 수 있고 명세서에 언급된 것처럼 같은 방식으로 안테나 위에 장착된 기판쪽으로 전송될 수 있다. 즉, 활성종류의 전송은 확산 및 벌크흐름에 의해서 발생되거나, 혹은 축그라디언트를 구비한 자장에 의해서 선택적으로 보조될 수 있다. 이 방법은 중력에 의존하지 않고 그래서 방향에 의해서 상당한 영향이 끼치지 않는다. 바뀐 방향은 가스상 혹은 표면상의 플라즈마 생성구역에 생성된 입자의 가능성을 최소화하기 위하여 사용될 수 있고, 기판으로 떨어진다. 그래서 중력은 이러한 입자의 가장 작은 것이 기판 표면으로 중력의 포텐셜 그라디언트에 대해서 상방향을 향해 구동되는 가능성을 최소화한다.
본 발명의 원리는 예시된 방법에 의해서 기재된 것보다 다른 형상을 선택하기 위해 적용될 수 있다는 것을 상기 기재에서 이해될 수 있다. 모든 형상의 일반적 모양에 본 발명의 유지는 플라즈마가 챔버 외부면으로부터 RF 에너지의 전자기적 연결의 수단에 의해서 챔버내에서 형성되고 유지된 다는 것이다. 본 발명의 목적의 경우, 용어 전자기적 연결은 RF 에너지가, 용량성 연결과 구별되는 것으로서, 챔버내에 형성된 플라즈마의 부피 혹은 체적과 연결된 상태에서, 에너지는 플라즈마와 하나 이상의 전극 사이에 있는 피복층을 통하여 전송된다는 것을 의미한다. 본 발명의 예시된 실시예의 기재에서, RF 에너지는 전자기적으로 유도에 의해서 플라즈마에 연결되지만, RF 에너지를 플라즈마의 체적에 직접 연결하는 전자기가 생성되는 다른 에너지 전송기구가 있다는 것은 고무할 만하다. 예를 들면, 마이크로웨이브 ECR (전자싸이클론 공명) 시스템은 또한 에너지를 전자기적으로 플라즈마의 체적에 연결시킨다.
다른 챔버도안은 고저압력 작동에 유용하다. 웨이퍼 지지음극(32C)와 코일형 바닥면 혹은 안테나의 권선사이의 간격(d)은 고저압력 작동에 불편하다. 예를들면, 500미리토르 내지 50토르의 작동압력은 바람직하게 약 5cm 이하의 간격(d)을 이용한 반면에, 0.1미리토르 내지 500미리토르 이하의 저작동압력은 간격(d)이 5cm 이상이 되는 것이 바람직하다. 챔버는, 도시된 것과 같이, 고정된 간격(d)을 이용하거나, 혹은 상호 교환 가능하거나 신축자재의 상부 챔버부와 같은 가변성 간격 고안을 유용하게 할 수 있다. 반응 시스템(10)은 규소산화물 및 규소 니트라이드와 같은 재료의 고,저압력 증착 ; 규소 이산화물, 질화규소, 규소, 폴리실리콘 및 알루미늄과 같은 재료의 저압의 이방성 반응 이온의 에칭; 이러한 재료의 고압의 플라즈마 에칭 ; 그리고 이러한 재료의 증착 및 에칭벽을 동시에 사용하는 CVD 패시팅(faceting)과 같은 공정에 유익하고, 웨이퍼 토포그라픽(topography)의 평면화를 포함한다. 반응시스템(10)이 사용될 수 있기 위한 이러한 및 다른 공정들은 미합중국 특허출원번호 제07/560530호에 기재되고 이를 참고문헌으로 언급한다.
본 시스템의 작동 실시예는 제1도에 도시된 것처럼 근원형상 및 안테나 형상과 병합된다. 5인치 정교한 수정 근원실(17)은 12인치의 직경이다. 2㎒, 13인치직경, 4인치 높이, 13권선의 코일형 안테나는 접지면으로부터 약 2.5인치 간격이 있는 양단부(접지된 가변성 축전지(L 및 T)를 구비)에서 끝나고, 근원을 둘러싼다. 활성 부하 매칭은 가변성 축전지(L)(10 내지 3000 피코패러 가변성 축전지, 5KV 가속)에 의해서 공급된다. 또한 공명시키기 위한 안테나의 용량성 권선은 권선형 축전지(T)(5내지 100 피코패러, 15KV 가속)에 의해서 제공된다. 작동은 2킬로와트의 RF 에너지원을 사용하므로 2㎒는 웨이퍼까지 연장되고 아래방향(근원아래)으로 2인치인 플라즈마를 공급한다. 이것은 (1 내지 2) ×1012/㎤ 의 플라즈마 밀도 및 10 내지 15mmA/㎠의 이온포화 전류밀도를 웨이퍼에 제공한다. 2㎒의 바닥 혹은 바이어스는, 안테나 아래의 거의 2인치인 지지 전극상에 장착된 5인치 웨이퍼에 적용된 600와트는 200볼트 음극 피복 전압을 제공한다.
상기 지시된 것처럼, 본 발명을 구체화하는 상기 반응시스템은 반응 시스템은 반응 이온에칭(RIE), 고압 플라즈마 에칭, 스퍼터 패시트 증착 및 평면화를 포함하는 저압 화학 기상증착(CVD), 및 고압의 등각 등방성 CVD 와 같은 수개의 플라즈마 처리에 유용하다. 다른 응용들도 스퍼터에칭, 이온 빔에칭, 혹은 전자이온 혹은 활성 중성 플라즈마원을 포함하지만, 이에 한정되지 않는다.

Claims (14)

  1. 공작물을 처리하기 위한 RF 플라즈마 처리 장치에 있어서, a) 내부에서 플라즈마가 발생되는 진공 챔버, b) 상기 챔버내에서 처리될 공작물을 지지하기 위한 공작물 지지부재, c) 챔버 내부로 플라즈마 가스를 공급하기 위해 챔버내에 장착된 가스 유입구, d) 제1 및 제2 RF 전력 신호를 발생하기 위한 제1 및 제2 RF 전력 발생기, e) 상기 처리 가스로부터 플라즈마를 형성하기 위해 상기 RF 전력 신호에 의해 RF 에너지를 챔버 내부에 결합시키기 위한 플라즈마 여기 수단, F) 상기 공작물 지지 부재의 내부 또는 아래에 위치되는 음극 전극으로서, 상기 플라즈마로부터 음극 전극을 향해 흐르도록 대전된 입자를 유도하는 전기장을 생성하기 위해 상기 제2 전력 신호와 전기적으로 결합되는 음극 전극, g) 상기 제2 RF 전력으로부터의 RF 에너지를 상기 챔버 내부에 결합시키기 위해 상기 음극 전극 위의 챔버내에 장착된 양극 전극, 및 h) 상기 챔버 내부로 규소 이온을 공급하기 위한 규소원을 포함하고 있는 RF 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 플라즈마가 발생되는 구역 내부에는 규소 함유 재료를 포함하는 제3전극이 위치되는 RF 플라즈마 처리장치.
  3. 제1항에 있어서, 상기 RF 에너지 결합수단은 상기 챔버내의 플라즈마에 인접한 RF 안테나를 포함하는 RF 플라즈마 처리 장치.
  4. 제1항에 있어서, 상기 진공 챔버는 상기 공작물 지지 부재 위의 공간을 덮고 있고 제어가능하게 가열된 절연벽을 갖는 상층 챔버 부분을 포함하며, 상기 RF 안테나는 상기 절연벽을 통해서 RF 에너지를 상기 챔버 내부에 결합시키는 RF 플라즈마 처리장치.
  5. 제4항에 있어서, 상기 RF 안테나는 코일 안테나인 RF 플라즈마 처리 장치.
  6. 제1항에 있어서, 상기 장치는 절연벽용 제어가능한 가열기를 포함하는 RF 플라즈마 처리 장치.
  7. RF 플라즈마 처리장치에 있어서, a) 플라즈마 공급 구역을 포함하는 진공 챔버, b) 상기 챔버내에서 처리될 공작물을 지지하기 위한 공작물지지 부재, c) 챔버 내부로 처리 가스를 공급하기 위해 챔버내에 장착된 가스 유입구, d) RF 에너지를 발생하기 위한 제1 및 제2 RF 전력 신호, e) 상기 처리 가스로부터 플라즈마를 형성하기 위해 상기 제1 RF 전력 신호에 의해 RF 에너지를 챔버 내부에 결합시키기 위한 플라즈마 여기수단, f) 상기 공작물지지 부재의 부분 또는 그 부근에 위치된 음극 전극으로서, 상기 플라즈마로부터 음극 전극을 향해 흐르도록 대전된 입자를 유도하는 전기장을 생성하기 위해 전기 전력 신호를 통해 플라즈마에 전기적으로 결합된 음극 전극, 및 g) 상기 제2 RF 전력 신호로부터 RF 에너지를 상기 챔버 내부에 결합시키기 위해 상기 챔버내에 장착된 양극 전극을 포함하고 있는 RF 플라즈마 처리 장치.
  8. RF 플라즈마 처리 장치로서, a) 내부에서 플라즈마가 발생되는 진공 챔버, b) 상기 챔버내에 처리될 공작물을 지지하는 공작물 지지 부재, c) 챔버 내부로 처리 가스를 공급하기 위해 챔버내에 장착된 가스 유입구, d) 제1 및 제2 RF 전력 신호, e) 상기 처리 가스로부터 플라즈마를 형성하기 위해 상기 제1 RF 전력 신호로부터 RF 에너지를 결합시키기 위한 코일 안테나, f) 상기 챔버내의 상기 공작물 지지부 또는 그 근처에 위치된 음극 전극으로서, 상기 플라즈마로부터 음극 전극을 향해 흐르도록 대전된 입자를 유도하는 전기장을 생성하기 위해 제2전력 신호를 통해 플라즈마에 전기적으로 결합된 음극 전극, 및 g) 상기 제2 RF 전력 신호에 의해 RF 에너지를 상기 챔버 내부에 결합시키기 위해 상기 챔버내에 장착되어, 플라즈마 외장을 가로지르게 전압을 발생시키는 양극 전극을 포함하고 있으며, 상기 제2 RF 전력 신호는 상기 공작물 내부로 흐르는 전류에 의해 야기된 공작물에 대한 손상을 방지하기에 충분히 낮고 활성 입자의 충돌에 의해 야기된 물품에 대한 전압 유도 손상을 방지하기에 충분히 높은 주파수에서 RF 에너지를 발생시키는 RF 플라즈마 처리장치.
  9. 공작물을 처리하기 위한 RF 플라즈마 처리장치에 있어서, a) 내부에서 플라즈마가 발생되는 진공 챔버, b) 상기 챔버내에서 처리될 공작물을 지지하는 공작물 지지 부재, c) 챔버 내부로 플라즈마 전조 처리 가스를 공급하기 위해 챔버내에 장착된 가스 유입구, d) 제1 및 제2 RF 전력 신호를 공급하기 위한 RF 전력원, e) 상기 처리 가스로부터 고밀도의 플라즈마를 형성하기 위해, 상기 제1 RF 전력 신호에 의해 RF 에너지를 상기 챔버 내부에 결합시키기 위한 코일 안테나, f) 상기 플라즈마로부터 대전된 입자를 음극 전극을 향하도록 유도하는데 도움을 주고 상기 공작물 지지부 또는 그 아래에 위치된 음극 전극, 및 g) 반응성을 증가시키도록 제어가능하게 가열되는, 상기 챔버내의 반응 부재를 포함하는 RF 플라즈마 처리 장치.
  10. 제9항에 있어서, 상기 반응 부재는 실리콘인 RF 플라즈마 처리 장치.
  11. 플라즈마 에칭 방법에 있어서, a) 플라즈마를 유지하기 위한 진공 챔버를 제공하는 단계와, b) 상기 플라즈마에 의해 처리될 공작물을 챔버내의 지지 부재 위에 제공하는 단계와, c) 상기 챔버에 불소 함유 에칭 가스를 공급하는 단계와, d) 상기 챔버내에 상기 에칭 가스 플라즈마를 유지하기 위해 챔버 내부에 RF 에너지를 결합시키는 단계와, e) 처리시에, 상기 에칭 가스 이외에도 실리콘 또는 탄소의 가스원을 상기 챔버 내부로 통과시키는 단계를 포함하고 있는 방법.
  12. 제11항에 있어서, 상기 처리될 공작물은 비산소 함유 재료와 그 상부에 놓이는 산소 함유 재료로 이루어지는 방법.
  13. 제11항에 있어서, 상기 처리는 상기 공작물 위에 50% 이상의 탄소와 40% 이하의 불소를 함유하는 표면안정 중합체를 형성하기 위해 수행되는 방법.
  14. 제11항에 있어서, 실리콘 또는 탄소 고체원은 챔버의 플라즈마 영역내에 또는 그 부근에 존재하며 반응성 있는 표면을 유지하기 위해 독립적으로 처리되는 방법.
KR1019920011241A 1991-06-27 1992-06-26 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법 KR100255703B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US72234091A 1991-06-27 1991-06-27
US7/722340 1991-06-27
US07/722,340 1991-06-27
US82485692A 1992-01-24 1992-01-24
US7/824856 1992-01-24

Publications (2)

Publication Number Publication Date
KR930001351A KR930001351A (ko) 1993-01-16
KR100255703B1 true KR100255703B1 (ko) 2000-05-01

Family

ID=27110578

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920011241A KR100255703B1 (ko) 1991-06-27 1992-06-26 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법

Country Status (3)

Country Link
EP (1) EP0520519A1 (ko)
JP (1) JP2635267B2 (ko)
KR (1) KR100255703B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100808862B1 (ko) 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
KR100855875B1 (ko) * 2006-12-22 2008-09-03 세메스 주식회사 가스 분사 노즐과, 이를 이용한 기판 처리 장치 및 방법
KR101283645B1 (ko) * 2007-01-25 2013-07-09 최대규 내장 무선 주파수 안테나를 구비한 유도 결합 플라즈마반응기

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
TW260857B (ko) * 1993-03-04 1995-10-21 Tokyo Electron Co Ltd
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
DE4337309A1 (de) * 1993-08-26 1995-03-02 Leybold Ag Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
TW296534B (ko) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
DE69506619T2 (de) * 1994-06-02 1999-07-15 Applied Materials Inc Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
JPH08225947A (ja) * 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
DE19711267A1 (de) 1996-03-18 1997-10-30 Hyundai Electronics Ind Vorrichtung zur chemischen Dampfabscheidung mit induktiv gekoppeltem Plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6353206B1 (en) * 1996-05-30 2002-03-05 Applied Materials, Inc. Plasma system with a balanced source
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6369348B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc Plasma reactor with coil antenna of plural helical conductors with equally spaced ends
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6254738B1 (en) * 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
WO1999054521A2 (en) * 1998-04-21 1999-10-28 Applied Materials, Inc. Method and apparatus for modifying the profile of high-aspect-ratio gaps using differential plasma power
US6200911B1 (en) 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP2002093598A (ja) 2000-07-11 2002-03-29 Daihen Corp プラズマ発生装置
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
GB0100958D0 (en) * 2001-01-13 2001-02-28 Surface Technology Systems Ltd Plasma processing apparatus
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
TW478099B (en) 2000-11-03 2002-03-01 Applied Materials Inc Shallow trench isolation manufacture method
JP2002237486A (ja) 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US20100330805A1 (en) * 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8540851B2 (en) * 2009-02-19 2013-09-24 Fujifilm Corporation Physical vapor deposition with impedance matching network
MX345403B (es) 2009-05-13 2017-01-30 Sio2 Medical Products Inc Revestimiento por pecvd utilizando un precursor organosilícico.
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
KR20130093080A (ko) * 2010-06-25 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 이온 전류가 감소된 예비-세정 챔버
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US8988848B2 (en) * 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
EP2914762B1 (en) 2012-11-01 2020-05-13 SiO2 Medical Products, Inc. Coating inspection method
US9903782B2 (en) 2012-11-16 2018-02-27 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085348A2 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
US9662450B2 (en) 2013-03-01 2017-05-30 Sio2 Medical Products, Inc. Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
KR102472240B1 (ko) 2013-03-11 2022-11-30 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
US11077233B2 (en) 2015-08-18 2021-08-03 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
KR101938306B1 (ko) * 2016-04-18 2019-01-14 최상준 건식 에칭장치의 제어방법
CN107369604B (zh) * 2016-05-12 2019-10-11 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
JP6529996B2 (ja) 2017-02-06 2019-06-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
SG11202011069RA (en) 2018-06-14 2020-12-30 Mks Instr Inc Radical output monitor for a remote plasma source and method of use
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
CN112342526A (zh) * 2019-08-09 2021-02-09 Asm Ip私人控股有限公司 包括冷却装置的加热器组件及其使用方法
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置
CN111477539A (zh) * 2020-05-14 2020-07-31 西安奕斯伟硅片技术有限公司 硅片处理方法及装置
CN114879458A (zh) * 2022-05-31 2022-08-09 上海稷以科技有限公司 一种改善谐振腔牺牲层释放效率的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS559464A (en) * 1978-07-07 1980-01-23 Toshiba Corp Production method of bipolar integrated circuit containing i2 l
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
JPS627268A (ja) * 1985-07-03 1987-01-14 Nec Corp フアクシミリ装置
JPS62291922A (ja) * 1986-06-12 1987-12-18 Canon Inc プラズマ処理装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100808862B1 (ko) 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
KR100855875B1 (ko) * 2006-12-22 2008-09-03 세메스 주식회사 가스 분사 노즐과, 이를 이용한 기판 처리 장치 및 방법
KR101283645B1 (ko) * 2007-01-25 2013-07-09 최대규 내장 무선 주파수 안테나를 구비한 유도 결합 플라즈마반응기

Also Published As

Publication number Publication date
KR930001351A (ko) 1993-01-16
JPH05206072A (ja) 1993-08-13
EP0520519A1 (en) 1992-12-30
JP2635267B2 (ja) 1997-07-30

Similar Documents

Publication Publication Date Title
KR100255703B1 (ko) 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
KR100265617B1 (ko) 전자기 고주파 커플링을 사용하는 플라즈마 반응기 및 방법
US5556501A (en) Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) Process used in an RF coupled plasma reactor
US6545420B1 (en) Plasma reactor using inductive RF coupling, and processes
US6251792B1 (en) Plasma etch processes
US6518195B1 (en) Plasma reactor using inductive RF coupling, and processes
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US6488807B1 (en) Magnetic confinement in a plasma reactor having an RF bias electrode
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) Processes used in an inductively coupled plasma reactor
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US6320320B1 (en) Method and apparatus for producing uniform process rates
US5430355A (en) RF induction plasma source for plasma processing
US5900699A (en) Plasma generator with a shield interposing the antenna
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
US6518705B2 (en) Method and apparatus for producing uniform process rates
JP2005514762A (ja) 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
JP3254069B2 (ja) プラズマ装置
US6674241B2 (en) Plasma processing apparatus and method of controlling chemistry

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O074 Maintenance of registration after opposition [patent]: final registration of opposition
O132 Decision on opposition [patent]
LAPS Lapse due to unpaid annual fee