JP2635267B2 - Rfプラズマ処理装置 - Google Patents

Rfプラズマ処理装置

Info

Publication number
JP2635267B2
JP2635267B2 JP4169619A JP16961992A JP2635267B2 JP 2635267 B2 JP2635267 B2 JP 2635267B2 JP 4169619 A JP4169619 A JP 4169619A JP 16961992 A JP16961992 A JP 16961992A JP 2635267 B2 JP2635267 B2 JP 2635267B2
Authority
JP
Japan
Prior art keywords
plasma
chamber
wafer
silicon
antenna
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP4169619A
Other languages
English (en)
Other versions
JPH05206072A (ja
Inventor
エス コリンズ ケニス
エイ ローデリック クレイグ
アール トロー ジョン
ロン ヤン チャン
ユーエン クイ ウォン ジェリー
マークス ジェフリー
アール ケスウィック ピーター
ダブリュー グルーシェル ディヴィッド
ディー ピンソン ザ セカンド ジェイ
哲也 石川
チュン ライ レイ ローレンス
トシマ マサト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH05206072A publication Critical patent/JPH05206072A/ja
Application granted granted Critical
Publication of JP2635267B2 publication Critical patent/JP2635267B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はRFプラズマ処理反応装
置に関し、より詳細には高周波(RF)エネルギー源と
関連するRF電磁波をプラズマに誘導結合するための多
コイルアンテナを用いるプラズマ反応装置に関する。
【0002】
【従来の技術】RFシステム CVD(化学蒸着)反応システムやRIE(リアクティ
ブイオンエッチング)反応システム等の第1の従来の半
導体処理システムを考察する。これらのシステムは約1
0−500KHz の低周波数から約13.56−40.68MH
z の高周波数までの高周波エネルギーを使用することが
ある。約1MHz 以下ではイオンと電子は振動電界あるい
はプラズマ中に発生する任意の定常状態の電界によって
加速することができる。このような比較的低い周波数に
おいては、ウエハに発生する電極シース電圧は通常1キ
ロボルトピーク以上であり、これは200−300ボル
トの損傷しきい値よりはるかに高い。数MHz 以上では、
電子は依然として変化する電界に追従できる。イオン量
がこれより多くなると変化する電界に追従できず、定常
状態の電界によって加速される。この周波数範囲(およ
び実用的なガス圧と電力レベル)において、定常状態の
シース電圧は数百ボルトから1,000ボルト以上の範囲
である。磁界−増強 RFシステムのバイアス電圧を低下させるための好まし
い方法として、プラズマに磁界を加える方法がある。こ
のBフィールドは電子をウエハの表面に近い領域に閉じ
込め、イオン束密度とイオン流を増大させ、したがって
電圧およびイオンエネルギー条件が低減される。比較例
として、二酸化けい素をエッチングするための代表的な
非磁性RIE処理には13.56MHz で印加されるRFエ
ネルギー、体積10−15リットルの非対称系、50ミ
リトルの圧力および約(8−10)対1のアノード領域
/ウエハ支持カソード領域比を用い、約800ボルトの
ウエハ(カソード)シース電圧を発生する。60ガウス
の磁界を印加するとバイアス電圧が約25−30%、8
00ボルトから約500−600ボルトに低下し、エッ
チング速度が約50%も増大する。
【0003】しかし、ウエハに平行な定常Bフィールド
を印加すると、E×Bイオン/電子ドリフトとそれに関
連するウエハ全体にプラズマ密度勾配が発生する。この
プラズマ勾配によってウエハ上のエッチング、蒸着その
他の膜特性の不均一が発生する。この不均一性はウエハ
の周囲で磁界を回転させることによって低減することが
でき、この回転は通常永久磁石の機械的運動、あるいは
90°ずれた直角位相で駆動される電磁コイル対、ある
いはコイル対の電流を磁界中で制御された速度で歩進あ
るいはその他の運動をするように瞬間的に制御すること
によって低減することができる。しかし、磁界の回転に
よって不均一勾配は低減されるが通常ある程度の不均一
性は残る。
【0004】さらに、コイルの設置、特に二つあるいは
それ以上の対になったコイルをチャンバーに設置してコ
ンパクトなシステムを構成することは困難であり、ヘル
ムホルツコイル構成もしくは共通のロードロックを取り
巻く個々の磁気増強された反応装置チャンバーからなる
複数チャンバーシステムを用いる場合特に困難である。
【0005】磁界の強度と方向を瞬時に選択的に変る能
力を持ち、小型複数チャンバー反応装置システムに用い
るように設計された独特な反応装置システムがCheng そ
の他の名において同時譲渡された1989年6月27日
付け米国特許第4,842,683 号に開示されている。マイクロ波/ECRシステム マイクロ波システムおよびマイクロ波ECR(電子サイ
クロトロン共鳴)システムでは、800MHz 以上で通常
2.45GHz の周波数のマイクロ波エネルギーを用いてプ
ラズマを励起する。この技術によって高密度のプラズマ
が発生するが、粒子エネルギーは二酸化けい素の反応イ
オンエッチング等の多くの処理に対する最小反応しきい
値エネルギーより低い場合がある。これを補償するため
に、エネルギー増強用の低周波電力がウエハ支持電極に
結合され、またウエハを通してプラズマに結合される。
このようにして、ウエハの損傷の可能性は従来のシステ
ムに比べて小さくなる。
【0006】エッチングあるいはCVDといった半導体
ウエハ処理のための実用的な電力レベルで動作するマイ
クロ波システムおよびマイクロ波ECRには電力送出用
の大型導波管、高価な同調器、方向性結合器、サーキュ
レータ、および動作用のダミー負荷を必要とする。さら
に、2.45GHz の商用周波数で運転されるマイクロ波E
CRシステムのECR条件を満足するために、875ガ
ウスの磁界が必要となり、これには電磁石、電力および
冷却仕様も大きくする必要がある。
【0007】マイクロ波システムおよびマイクロ波EC
Rシステムの拡大・縮小は容易ではない。ハードウェア
は2.45GHz について利用可能である。それはこの周波
数がマイクロ波オーブンに用いられるためである。91
5MHz のシステムも利用可能であるがコストが高くな
る。他の周波数用のハードウェアは容易にあるいは経済
的に入手することができない。その結果、5−6インチ
のマイクロ波システムを拡大してより大きな半導体ウエ
ハを処理しようとする場合、より上位の動作モードが必
要である。このより上位のモードでの動作による一定周
波数における規模拡大にはより上位のあるいはより下位
の負荷へのいわゆるモードフリッピングとその結果発生
する工程の変化を防止するための非常に厳格な工程管理
が必要となる。あるいは、たとえば5−6インチのマイ
クロ波空洞については発散磁界を用いてプラズマ束をよ
り広い範囲に拡散させることによってこの規模の拡大を
達成することができる。この方法によれば有効電力密度
したがってプラズマ密度が低下する。RF伝送線システム 前述したように、発明者 Collinsその他の名で1990
年7月31日同時譲渡された『VHF/UHF反応装置
システム』と題する親米国特許出願559,947号(A
MATファイル151−1)をここでは参照している。
この出願では反応装置チャンバー自体の一部が整合ネッ
トワークからチャンバーに高周波プラズマ発生エネルギ
ーを印加するための伝送線構造として構成された高周波
VHF/UHF反応装置システムを開示している。この
独特の一体型伝送線構造は、整合ネットワークと負荷と
の間の非常に短い伝送線要求を満たし、50MHz から8
00MHz の比較的高い周波数の仕様を可能にする。これ
はプラズマ電極へのRFプラズマ発生エネルギーの効率
的で制御可能な印加を可能にし、比較的低いイオンエネ
ルギーと低いシース電圧で商業的に許容できるエッチン
グ速度および蒸着速度を発生させる。この比較的低い電
圧によって電気的に敏感な小さな寸法形状の半導体装置
への損傷の可能性が低下する。このVHF/UHFシス
テムは上述した拡大・縮小の可能性や電力上の制約とい
った従来の技術における他の種々の問題点を防止する。
【0008】
【発明が解決しようとする課題】高密度集積化の趨勢
は、電気的に敏感で約200−300ボルト程度の小さ
なウエハシース電圧にさらされるときエネルギー粒子衝
撃のために損傷を受けやすい非常に小さな寸法形状の部
品や装置をもたらした。残念ながら、かかる電圧は回路
部品が標準的な集積回路製造工程中に経験する電圧より
小さい。
【0009】先端装置用に製造されるMOS型コンデン
サおよびトランジスタは非常に薄い(厚さ200オング
ストローム以下)のゲート酸化物を有する。これらの装
置は充電によって損傷することがあり、それによってゲ
ート破壊が発生する。これは表面電荷の中性化が起こら
ないときに、プラズマ電位あるいはプラズマ密度の不均
一によって、あるいは大きなRF変位電流によってプラ
ズマ処理中に発生することがある。中間接続線等の導体
もまた同じ理由で損傷を受ける場合がある。
【0010】
【課題を解決するための手段】従来技術の問題点を解決
する本発明は、プラズマ源領域と処理領域を有する真空
チャンバー、RF電極エネルギーを処理チャンバー内に
誘導結合する手段であり、チャンバー内にたとえばこの
結合手段にあるいは結合手段の下流に位置する半導体ウ
エハ等の物品を製作するための結合手段、処理領域中の
RFカソード、チャンバーの壁によって形成されるアノ
ード、およびプラズマ処理を増強するための電気的に浮
動する、あるいは接地された、あるいはRFバイアスに
接続されたプラズマ源領域電極からなるRFプラズマ処
理システムの構造と動作に実施される。プラズマ源領域
電極もしくはプラズマ源領域を形成するチャンバーの壁
の構造には酸化物エッチング等の処理を増強するための
けい素を含めることができる。
【0011】好適には、100KHz から100MHz の範
囲内のLF/VHF(低周波数から非常に高い周波数ま
で)RF電力が用いられる。より好適には、100KHz
から10MHz の範囲内のLF/HF電力が用いられる。
最も好適には、300KHz から3MHz の範囲内のMF
(中周波)電力が用いられる。好適には、結合手段はコ
イルをほどいた電気長がλ/4より小さい多重巻円筒状
コイルアンテナであり、λはプラズマ動作中にコイルア
ンテナに印加される高周波RF励起エネルギーの波長で
ある。
【0012】また、この発明はアンテナを共振に同調す
るためのアンテナに接続された手段、およびプラズマ源
の入力インピーダンスをアンテナのためのRFエネルギ
ーを供給する手段の出力インピーダンスに整合させるた
めのアンテナに接続された負荷手段を含む。この同調手
段はアンテナの一端とRFアースの間に電気的に接続さ
れた可変キャパシタンスとすることができる。RFエネ
ルギーはコイルアンテナ上の選択された位置にタップを
介して印加することができる。
【0013】このシステムはまたプラズマ源領域を形成
する誘電体ドームあるいは誘電体シリンダーを含む。コ
イルアンテナが高周波電磁エネルギーをチャンバー内に
誘導結合するためにドームを取り巻くことが好適であ
る。製作される物品はプラズマ源領域あるいはドーム内
に、アンテナの巻線あるいは最下部の巻線の内部にある
いは近接して、あるいは好適にはアンテナの下流に配置
することができる。
【0014】また、この発明はドームの上部のガス取入
口、ドームのプラズマ源領域の基部の第1のリングマニ
ホルド、およびウエハ支持電極を取り巻く、チャンバー
に処理用の希釈剤、パッシベーション、その他のガスを
選択的に供給するための第2のリングマニホルドからな
るチャンバーにガスを供給する手段を含む。またさら
に、交流電源および制御システムが、通常プラズマ源コ
イル電力と同じかそれに近い周波数である交流バイアス
電力をウエハ支持カソードに結合し、それによってプラ
ズマ源高周波電力によって行われるプラズマ密度制御か
ら独立してカソードシース電圧とイオンエネルギーの制
御を行う。このシステムは多数の目的を果たすように選
択されたバイアス周波数を提供する。まず、周波数の上
限は“電流に誘起される”損傷(周波数が高すぎる場合
敏感な装置にチャージアップ損傷が発生することがあ
る)を防止するように選択される。周波数の下限は部分
的には“電圧に誘起される”損傷を排除するように選択
される。バイアス周波数が低いほど単位バイアス電力あ
たりのウエハシース電圧(基板の加熱を除く)は高くな
り、プラズマ密度に対する貢献は小さく、したがってイ
オン密度とイオンエネルギーの独立的制御が向上する。
しかし、バイアス周波数が低すぎるとイオンがウエハシ
ース電界のRF成分に追従し、それによってイオンエネ
ルギーが変化する。その結果、ピーク/平均エネルギー
率が高くなり、イオンエネルギー分布が広くなる(2ピ
ーク)。バイアス周波数が非常に低いと、絶縁体のチャ
ージアップが発生し、バイアス周波数期間の一部におい
てイオン誘起処理を不能とする。上記の要注意事項を満
足する好適な周波数範囲はプラズマ源周波数範囲に対応
する。すなわち、好適には100KHz から100MHz の
範囲内のLF/VHF(低周波数から非常に高い周波数
まで)電力が用いられる。より好適には、100KHz か
ら10MHz の範囲内のLF/HF電力が用いられる。最
も好適には、300KHz から3MHz の範囲内のMF(中
波)電力が用いられる。
【0015】この発明はさらに直流バイアス電圧を選択
された低い値と高い値の間の周期的なパルスにして、ウ
エハ上の第1の選択された材料の上に不動態化被覆を形
成する制御手段を含み、その材料のエッチング速度を比
較的低くし、また第2の選択された材料を比較的高い速
度と選択度で選択的にエッチングするようにしている。
【0016】また、チャンバーはチャンバー本体に接続
された第1の真空ポンプ手段とドームに接続された第2
の真空ポンプ手段によって空にされ、ドーム外への中性
粒子の流れを確立するためのドーム内の垂直方向の圧力
差を確立するようにしており、ウエハ支持電極の電圧は
帯電した粒子がチャンバー本体に向かって流れるように
この圧力差を克服するに十分なものとなっている。
【0017】また、この発明にはコイルアンテナまたは
その他の結合手段とチャンバーとの間に介装され、高周
波電磁エネルギーの電界成分のチャンバーへの結合を防
止する異なる構成の導電性ファラデーシールドが含まれ
る。また、コイルあるいは他の結合手段を取り囲むよう
に配置された高周波反射器によって、高周波エネルギー
の放射をチャンバー内に集中する。
【0018】磁気の増強は周辺の永久磁石あるいは電磁
石構成によって与えられ、これらは均一な発散する磁性
ミラー構成から選択されたアンテナの軸に平行な制御さ
れた静磁界を加え、ウエハの下流のプラズマの位置と移
動を制御する。また、磁石をプラズマ源もしくはチャン
バーの周囲に取り付けてウエハの近傍でチャンバーに多
極カスプ磁界を加えて、これによってプラズマをウエハ
領域に閉じ込めると同時にウエハの磁界を大幅に排除す
ることができる。さらに、磁気分路をウエハとウエハ支
持電極の周囲に配置して、ウエハ支持電極からの磁界の
方向転換することができる。
【0019】このシステム構成は、動作周波数を選択す
ることによって低モード動作を維持しながらその大きさ
を拡大・縮小することを可能とする。処理上の他の側面
としては、この発明はプラズマ源領域と処理領域を有す
る真空チャンバーを提供するステップ、物品を処理領域
中の電極上に支持するステップ、チャンバーに処理用の
ガスを供給するステップ、電気長がλ/4より小さい一
つ以上の巻線の円筒状コイルアンテナを用い(λはアン
テナに加えられるRFエネルギーの波長)、RFエネル
ギーをプラズマ源領域に誘導結合して前期の物品の上に
一つあるいはそれ以上の材料を製作するためのプラズマ
を発生させるステップ、および支持電極を介してRFエ
ネルギーをチャンバーに容量性結合して支持電極のシー
ス電圧を制御するステップからなるプラズマ発生処理に
実施される。
【0020】また、この方法は共振に対するアンテナの
自動的反復的同調とその入力インピーダンスのアンテナ
に対するRFエネルギー供給源のインピーダンスへのロ
ーディングを含む。また、このプラズマ発生処理はプラ
ズマ源領域と処理領域および壁、処理領域中の電極およ
びプラズマ源領域中の電極を有する真空チャンバーを提
供するステップ、処理領域中の電極、チャンバーの壁お
よびプラズマ源電極を電気的に接続するステップ(処理
領域電極がカソード、壁がアノード、そしてプラズマ源
電極の電気的接続はアース、浮動およびRFあるいは直
流バイアスから選択される)、物品を処理領域中の電極
上に支持するステップ、チャンバーに処理用のガスを供
給するステップ、電気長がλ/4より小さい一つ以上の
巻線の円筒状コイルアンテナを用い(λはアンテナに加
えられるRFエネルギーの波長)、RFエネルギーをプ
ラズマ源領域に誘導結合して前期の物品の上に一つある
いはそれ以上の材料を製作するためのプラズマを発生さ
せるステップ、および支持電極を介してRFエネルギー
をチャンバーに容量性結合して支持電極のシース電圧を
制御するステップからなる。
【0021】プラズマ源電極とプラズマ源領域中のチャ
ンバーの壁のうち少なくとも一方はけい素あるいはけい
素を含むものとすることができ、プラズマ源電極はけい
素をプラズマ中に解放して処理を向上させるためにRF
バイアスすることができる。また、電極に供給されるア
ンテナ電力とバイアス電力は異方性、半異方性および等
方性エッチングを選択的に行うように制御される。
【0022】この方法には、けい素中での二酸化けい素
のエッチング、けい素増強の使用、もしくは選択度とエ
ッチングプロファイルの向上のためのCOやCO2 等の
添加物の使用が含まれる。この方法では、バイアス電圧
を、けい素上にエッチング抑制層を形成するための選択
された低い値、および酸化けい素をけい素に対して速い
速度でエッチングするための高い値に周期的に駆動す
る。
【0023】また、この方法には、酸化けい素のスパッ
タ蒸着および、まず酸化けい素を蒸着するために比較的
低いレベルのRF電力を支持電極に印加するプテップ、
第2に酸化けい素を蒸着し平面化する網スパッタファセ
ット用の支持電極に比較的高いレベルのRF電力を印加
するステップが含まれる。方法の具体的な側面は、ポリ
シリコン(多結晶けい素)の上に形成された酸化物中の
接触穴のエッチングとアルミニウム上に形成された酸化
物中お穴を介したエッチング、酸化けい素とポリシリコ
ンのいわゆる“軽い”エッチング、高速の等方性および
異方性酸化物エッチング、ゲート等のポリシリコン導体
のエッチング、フォトレジストの除去、単結晶けい素の
異方性エッチング、異方性フォトレジストエッチング、
窒化物とオキシ窒化物の低圧プラズマ蒸着、酸化物、オ
キシ窒化物および窒化物の高圧等方性コンフォーマル蒸
着、アルミニウムおよびチタン等の金属とその化合物お
よび合金のエッチング、およびスパッタファセットの平
面化を伴う局部的・全体的蒸着といった酸化物のエッチ
ングを含むが、酸化物のエッチングには限定されない。
【0024】
【実施例】
1.概要 図1−図3は半導体ウエハ5を加工するための、誘導プ
ラズマ源装置、磁気増強したプラズマ源装置、容量性結
合されたバイアス装置、および本発明の他の側面を用い
るプラズマ反応装置チャンバーシステム10の概略断面
図である。この三つの図はこのシステムの好適な特徴と
その他の特徴を示す。図面スペースの制約から三つの図
面を用いる。この例示したチャンバーは一体型伝送線構
造を有する同時係属中の一部継続出願中の願書に図示し
たものの変更態様である。この発明の重要な特徴はプラ
ズマ反応装置チャンバーに広く適用することができる。
さらに、当該技術に精通する者には、また以下の説明か
ら、反応装置システムの性能を向上させるこの発明のさ
まざまな特徴は個別に利用することもでき、また選択的
にシステムから省くこともできる。たとえば、誘導プラ
ズマ源装置と容量性結合されたバイアス源によってて提
供される加工条件によって磁気増強が不要となることが
多い。
【0025】例示するシステム10は側壁12、頂壁1
3、底壁14を有する陽極処理したアルミニウムその他
の適当な材料で形成した真空チャンバーハウジング11
を含む。陽極処理したアルミニウムはアークとスパッタ
リングを抑制するため好適である。しかし、この加工に
適したポリマー、石英、あるいはセラミックのライナー
の付いたあるいはそれが付いていない裸のアルミニウム
等の他の材料を用いることもできる。頂壁13は壁12
−12の間に形成された下部チャンバーウエハ加工部1
6Bとドーム17によって形成された上部チャンバープ
ラズマ源部16Aの間の中央開口部15を有する。この
ドームは好適には石英やその他のアルミナやアルファア
ルミナ(サファイア)等のいくつかの誘電体材料のよう
な誘電体材料によって形成される反転した単一壁あるい
は二重壁のカップとして構成することができる。図1に
示す実施例では、ドーム17は石英等の誘電体の円筒状
の壁17Wと通常アルミニウムあるいは陽極処理したア
ルミニウム製のカバーあるいは頂壁17Tからなる。選
択度の高い酸化物エッチングといった目的のためには、
けい素の、あるいはけい素を含有する頂壁手段およびけ
い素で覆ったドームの側壁が好適である。
【0026】図1に示すように、チャンバーハウジング
11(チャンバー16)の内部の減圧排気は、底壁14
に接続された一つあるいはそれ以上の真空ポンプからな
る真空ポンプシステム21につながった真空線19中の
絞り弁18(流量と無関係に圧力を調整する)によって
制御される。10節に説明するように、チャンバーの壁
とドームを含むチャンバー構成要素は加工性能のために
加熱もしくは冷却することができる。たとえば、ドーム
は液体あるいはガスの伝熱媒体によって加熱あるいは冷
却することができ、あるいは加熱要素を用いて直接ドー
ムを加熱することができる。
【0027】2節に示しまた図2に図示するように、プ
ロセスガス、パージガス、希釈剤その他は、プラズマ源
(ドーム)の基部、プラズマ源の頂部プレート17T、
およびウエハの周辺にそれぞれ配置された三つのマニホ
ルド注入源G1,G2およびG3によっンバーに供給す
ることができる。これらのガスはたとえば一つあるいは
それ以上の加圧ガス源からコンピュータ制御された流れ
制御装置(図示せず)を介してチャンバー11に供給さ
れる。主吸気マニホルドG1においては、ガスは頂壁1
3の内部に取り付けたあるいは頂壁13と一体の石英リ
ングガスマニホルド51を介して、22に示すように内
部真空加工チャンバー16に入る。マニホルド23は好
適にはRFエネルギーの印加後にエッチングもしくは蒸
着プラズマを発生させるためにチャンバー部16B,1
6Aに対してわずかに上向きの角度でエッチングガスも
しくは蒸着ガスを供給する。ドーム17の頂部プレート
17T中の頂部マニホルド装置G2は反応性ガスあるい
はその他のガスをチャンバー16い取り入れるのに用い
ることができる。また、ウエハの周辺に反応性ガスおよ
びその他のガスを供給するマニホルド装置63を設ける
こともできる。 RFエネルギーはRF供給および整合
ネットワーク31によって給電される少なくとも1回巻
のアンテナ30あるいはコイルからなるプレート源によ
ってドームに供給される。アンテナ30は好適には複数
回巻の円筒状構成を有する。コイル30はある一定の周
波数およびプラズマ源(コイル)径に対する最小導体電
気長を規定し、好適には動作周波数において1/4波長
(<λ/4)以下の電気長を有する。アンテナ30自体
は共振器ではないが、ファラデーの誘導結合の法則によ
ってプラズマ源との有効な誘導結合を行うために5節に
説明するように共振に同調される。
【0028】好適には、チャンバープラズマ源部16A
からのガスの流れはウエハ5に向かって下向きに流れ、
次にウエハから径方向に外向きに引き出される。この目
的のために、カソード伝送線構造32の周り、一方の側
のチャンバー壁12と他方の側の外側伝送線導体320
の間および底部のチャンバー底壁14と頂部の導電性ポ
ンピングスクリーン29の間の環状の真空マニホルド3
3を形成することができる。マニホルドスクリーン29
は真空マニホルド33とウエハ加工チャンバー16Bの
間に介装され、チャンバー壁12と伝送線構造32の外
側導体320の間に導電路を提供する。マニホルド33
はウエハ5の周辺からの排出ガスの均一な径方向の引き
出しを行うための環状のポンピングチャンネルを形成す
る。排出マニホルド33は排出ガスシステム線19に連
通している。ガスの流れはマニホルドG1からの通路2
2に沿ってドーム/プラズマ源に向かうもしくはマニホ
ルドG3からの通路26に沿ってウエハ5に向かって径
方向に内向きに流れる。全体的なガスの流れは通路34
に沿って上部チャンバープラズマ源部16Aからウエハ
5に、通路3に沿ってウエハからスクリーン29を通っ
て排気マニホルド33、そして通路37に沿って排気マ
ニホルド33から排気システム21に向かう。導電性マ
ニホルドスクリーン29とカソード伝送線構造はオプシ
ョンであることに注意しなければならない。通常、対象
となる周波数の低い側では波長が非常に長く、したがっ
て伝送線構造は不要である。
【0029】これは従来のRFシステムの構成とは対照
的であり、RF電力は二つの電極、通常その上面がウエ
ハ5を支持するウエハ支持電極32Cと反応装置チャン
バーの側壁12、頂壁13もしくはマニホルド23であ
る第2の電極との間に印加される。すなわち、アンテナ
30はドーム17とプラズマチャンバー16Aの外側の
それらに隣接する位置に配置され、RF電磁(em)エ
ネルギーをプラズマ源チャンバー16Aに結合してプロ
セスガスに電界を誘起するようになっている。ファラデ
ーの誘導結合の法則から、emエネルギーの変化するB
(磁気)成分はプロセスガスを付勢してチャンバー16
内に比較的密度が高くエネルギーイオンが低いという特
徴を有するプラズマを形成する(参照符号16はチャン
バー16A、16Bおよびプラズマを集合的に指
す。)。このプラズマはドーム17中でコイルアンテナ
30内に形成された小さな容積に集中されて発生する。
イオン、電子、遊離基および励起中性物等を含む活性種
が拡散とここに説明するガス流によるバルクフローによ
ってウエハに向かって下流に移動する。また、7節に説
明するように、適当な磁界を用いて次に説明するように
ウエハに向かうイオンや電子を抽出することができる。
これはオプションであるが、プラズマ源42とバイアス
整合ネットワーク43からなる図1のバイアスエネルギ
ー入力装置41はRFエネルギーをウエハ支持電極32
Cに結合して、ウエハのプラズマシース電圧を選択的に
増大させそれによってウエハのイオンエネルギーを選択
的に増大させるのが好適である。
【0030】基本的には底部の開放した箱である反射器
45はアンテナをその頂部と側面部において囲んでいる
が、アンテナの底部は囲まない。この反射器はRFエネ
ルギーの自由空間への放射を防止し、それによってプラ
ズマ中の電力の放射や散逸を集中して効率を高めてい
る。7節に詳細に説明するように、図3のファラデーシ
ールド45はアンテナ30の内部、上および下に配置す
ることができ、磁界がプラズマに結合するのを可能にす
るが直接電界結合を不能にしている。直接電界結合はプ
ラズマに傾斜や不均一を誘起する恐れがある。あるいは
荷電粒子を高エネルギーに加速する恐れがある。8節に
説明するように、ウエハ5におけるプラズマ密度の向
上、ウエハへのイオンの搬送、あるいはプラズマの均一
性の向上のために、オプションとして図2の一つあるい
はそれ以上の電磁石47−47、あるいは永久磁石をチ
ャンバーの囲い11に近接して取り付けることができ
る。
【0031】4節に詳細に説明するように、この発明に
は通常マイクロ波あるいはマイクロ波ECR周波数より
はるかに低い周波数の誘導結合された電磁エネルギーの
磁力成分を用いて、潜在的に損傷を与える恐れのある高
出力RFエネルギーをウエハ5に結合することなく高密
度かつ比較的低エネルギーという特徴を持つプラズマを
生成するために真空チャンバー内に円形の電界を誘起す
る。図示する好適な下流プラズマ源構成では、RFエネ
ルギーはウエハから離れて高プラズマ密度で完全に吸収
され、波がウエハに伝搬せずしたがって損傷の可能性を
最小限にするようにしている。RFバイアスエネルギー
は必要に応じてウエハシース電圧、したがってイオンエ
ネルギーを増大させるために選択的に印加される。
【0032】チャンバー11は総チャンバー圧約0.1mt
から約50トル、、通常エッチングには0.1mtから20
0mtを用いて半導体ウエハの加工(蒸着およびエッチン
グ)を行うことができる。このチャンバーは5ミリトル
より低い圧力で動作することができ、実際に2ミリトル
で正常に動作した。しかし、ある種の加工には、ポンピ
ング速度と流量が増大するという点で高い圧力が好適で
ある。たとえば、酸化物エッチングには約5mT(ミリト
ル)から約50mTの圧力範囲が好適である。このような
比較的高い圧力では、プラズマ源とウエハの間隔を小さ
くしなければならない。この発明のチャンバーはウエハ
5とアンテナ30の最下部の巻の間の間隔と約5cm/2
in.と非常に適切な小さい間隔dにしたとき、敏感な装
置に対するチャージアップ損傷を生じることなく良好に
動作した。したがって、このような非常に小さい間隔に
することの利点、すなわちエッチング速度と選択度の向
上、ある一定のエッチング速度に対するバイアス電圧お
よびイオンエネルギー条件の低減、およびウエハ上のエ
ネルギーの均一性の向上が達成される。たとえば、ウエ
ハ5とソースアンテナ30の間隔dを10cm/in.(こ
れ自体小さい間隔である)から5cm/2inに縮小する
と、必要電圧は半分になり均一性は約2.5%から約1%
に上がった。 2.多重ガス注入 前述したように、このチャンバーには反応性ガス、パー
ジガス、その他を異なる場所に注入してそれぞれの加工
(エッチング、蒸着その他)の条件とその加工に用いら
れる材料に応じて加工を向上させるための複数のガス注
入源G1,G2,G3(図2)が内蔵されている。ま
ず、チャンバーはプラズマ源領域16Bの基部/底部の
周囲に標準の径方向ガス分配システムG1を有する。好
適な構成では、G1注入システムはプラズマ源の底部の
石英ガス分配リング51とこのリングにガスを供給する
分配チャンネルを形成する周辺環状マニホルド52から
なる。このリングは内側を向いた径方向の穴53−53
を有し、好適には中空陰極放電を防止するため前記の穴
に挿入される階段状の焼結セラミック多孔性ガス拡散プ
ラグ54−54を有する。
【0033】第2のガス注入装置G2は多孔性セラミッ
ク拡散ディスク57を詰めた中央吸気穴56を有する陽
極処理したアルミニウム等の材料でできた接地された、
あるいは浮動する、あるいはバイアスされたドーム頂部
プレート17Tからなる。第3のガス注入源G3はウエ
ハ5の周辺に取り付けたリング状吸気マニホルド58
(あるいはウエハを支持受台に保持するのに用いられる
クランプリング(図示せず)に内蔵されたガス取入口)
からなる。 例:ポリマー増強された選択度を用いたポリシリコン上
の酸化けい素エッチング 上記の通り、エッチャントおよび蒸着ガス、不動態化ガ
ス、希釈ガスその他から選択されたさまざまな種類のガ
スをG1からG3までの一つあるいはそれ以上の供給源
からチャンバーに供給して特定のエッチング・蒸着処理
および材料の必要条件を満足することができる。たとえ
ば、この誘導ソースアンテナ30は非常に高い密度のプ
ラズマを提供し、チャンバーのドームプラズマ源領域1
6A中のガスの解離に非常に有効である。したがって、
ポリマーを形成する種類のガスがG1あるいはG2を介
してドームに供給されると、解離度の高いガスがポリシ
リコンのコーティングを犠牲にしてドームの内部をコー
ティングすることができる。あるいはこのガスの解離度
が非常に高く保護コーティングを行うべきポリシリコン
表面に付着しない場合もある。この解決法として、C2F6
あるいはCF4 といったエッチャントをG1あるいはG2
を介して、あるいはG1とG2を介してプラズマ源領域
16Aに取り入れ、CH3FあるいはCHF3といったポリマー
を形成するガスを吸気口G3から供給して破壊的な解離
を生じることなくポリシリコン上に選択的にポリマーを
形成する方法がある。 例:けい素含有ガスの化学作用を用いたポリシリコン上
の酸化けい素エッチング ソース領域のガスの解離度が高いため、ふっ素含有ガス
(ふっ素が炭素と結合したものも含む)は通常、けい素
をエッチングし、したがって酸化物に対するエッチング
選択度を低下させる遊離ふっ素を生成する。高い選択度
が要求されるときは、けい素含有添加ガスを注入してこ
の遊離ふっ素の活動を止めてけい素エッチングを少なく
する。エッチャントガスとけい素含有添加ガスはG1と
G2を介して別々に導入することができる。あるいは、
G1もしくはG2を介して混合物として導入することが
できる。適切なふっ素消費けい素含有添加ガスとして
は、シラン(SiH4) 、TEOS、ジエチルシランおよび
四ふっ化けい素(SiF4) 等がある。
【0034】ふっ素消費ガスとポリマー形成添加ガスを
同じ処理に用いてエッチングの選択度を向上させること
ができる。 例:酸化けい素蒸着 蒸着速度は酸素含有ガスおよびO2 やAr2等の希釈剤を
G1もしくはG2を介して供給することによって、また
SiH4等のけい素含有ガスをG3を介して供給することに
よって向上させることができる。 3.差動ポンピング 図2は代替の真空ポンピング構成を示す。チャンバーの
底部、あるいはその近傍に接続される真空ポンピングシ
ステム21に加えて、真空ポンプ39が線38を介して
ドーム17内のプラズマ源領域16Aに接続される。ポ
ンピングシステム39および21の流量は、それらがプ
ラズマ源領域16Bに垂直方向に圧力差ΔPp を発生さ
せるように選択される。この圧力差ΔPp は(1)プラ
ズマ源16Aからウエハ5への非荷電粒子の移動を防止
し、また(2)バイアス電圧によって電子やイオンとい
った荷電粒子に加えられる力Fb より小さい。ΔPp
ために、基のような非荷電粒子はウエハ5に到着せず、
むしろ主として頂部真空接続部38から流出する。FDC
>ΔPp であることから荷電電子および荷電イオンは主
として加工領域に流れる。この方法はイオンではなく基
を選択的にウエハ加工領域外に置きたいとき有効である
ことは明らかである。この状況はたとえば、(1)ポリ
マー形成ガスの化学作用を用いるが、ポリマーがプラズ
マ源領域で形成されチャンバーの側壁に付着する、もし
くは所望のウエハ面に良好に付着しない場合、もしくは
(2)プラズマ源領域にふっ素基が形成される場合に起
こる。 4.RF電力、上部およびバイアスプラズマ源 1)上部あるいはアンテナプラズマ源 図1において、好適には上部プラズマ源30のRF電源
31の動作周波数は密度の高いプラズマを発生して敏感
な装置への損傷を最小限とし、RF電力のプラズマへの
効率的な誘導結合を提供するように選択される。すなわ
ち、この動作範囲の上の周波数は“電流によって誘起さ
れる”損傷を最小限にするように制限される。動作周波
数の下限はプラズマへのRF電力結合の効率が上がるよ
うに選択される。好適には、約100KHz から約10
0MHz までの範囲内のLF/VHF(低周波数から非
常に高い周波数まで)交流電力が用いられる。より好適
には、約100KHz から約10MHz までの範囲内の
LF/HF(低周波数から高周波数まで)電力が用いら
れる。最も好適には、約300KHz から約3MHz ま
での範囲内のMF(中波)電力が用いられる。 2)下部あるいはバイアスプラズマ源 ウエハ支持カソード32Cの交流電源42はRF電力を
プラズマに誘導結合して、それによって高周波電力によ
って行われるプラズマ密度制御から独立して制御される
カソードシース電圧およびイオンエネルギー等を含むさ
まざまな要素の制御を行う。バイアス周波数は多くの目
的を達成するように選択される。まず、周波数の上限は
敏感な装置への電流によって誘起されるチャージアップ
損傷を防止するように選択される。低い周波数が部分的
には電圧によって誘起される損傷を排除するために選択
される。また周波数バイアスが低ければ、基板の単位バ
イアス電圧あたりのウエハシース電圧(加熱を除く)は
高くなり、プラズマ密度への貢献は少なく、したがって
イオン密度とイオンエネルギーの独立的制御が向上す
る。しかし、バイアス周波数が低すぎると、イオンがウ
エハシース電界のRF成分に追従し、それによってイオ
ンエネルギーが変調される。その結果、ピーク/平均エ
ネルギー比が高くなり、また(ピーク間)イオンエネル
ギー分布が広くなる。バイアス周波数が非常に低いと、
絶縁チャージアップが発生し、バイアス周波数制御の一
部においてイオンによって誘起される処理を不能にす
る。
【0035】本出願人は以上の要注意事項が、プラズマ
源周波数範囲に対応するバイアス周波数範囲を用いるこ
とによって満足されることを発見した。すなわち、好適
にはバイアス電力は約100KHz から100MHz
(LF/VHF周波数)の範囲内である。より好適には
バイアス電力の周波数は約100KHz から10MHz
(LF/HF周波数)の範囲内である。最も好適にはバ
イアス電力の周波数は約300KHz から3MHz (M
F周波数)の範囲内である。 3)上部プラズマ源とバイアスプラズマ源の連結動作 この発明の好適な特徴は電源42によって供給される下
部あるいはバイアス電力を自動的に変更して一定のカソ
ード(ウエハ)シース電圧を維持することである。非対
象性の高いシステムにおいては低圧(<500mt)で
は、カソード32Cで測定される直流バイアスはカソー
ドシース電圧の近似値である。下部電力は一定の直流バ
イアスを維持するために自動的に変更することができ
る。下部あるいはバイアス電力のプラズマ密度とイオン
流密度に対する影響は非常に小さい。上部あるいはアン
テナ電力はプラズマ密度と電流密度に非常に大きく影響
するが、カソードシース電圧に対する影響は非常に小さ
い。したがって、プラズマ密度とイオン流密度を規定す
るには上部電力を用い、カソードシース電圧を規定する
には下部電力を用いるのが望ましい。
【0036】それにもかかわらずアンテナ30を駆動す
るプラズマ源31の高周波はマイクロ波あるいはマイク
ロ波ECRアプリケーションに用いられる周波数よりは
るかに低いため、より安価な電源によってより低い直流
電流で作動されるオプションのより小さい磁石を用いる
こともできる。この場合関連する熱負荷も小さくなる。
さらに、以上の説明から明らかなように、導波管の代わ
りに31C等の同軸ケーブルを用いることができる。さ
らに、他の磁気増強されたあるいは磁気補助されたシス
テム中のE×B電子ドリフトによって引き起こされたプ
ラズマの不均一はここには存在しない。これは、印加さ
れる磁界(アンテナ30を介して引火されるHFフィー
ルドの磁気成分と磁石81によって印加される任意の静
磁界の両方)はカソードの電界とほぼ平行である。した
がってこのシステムにはE×Bドリフトはない。
【0037】透磁率の高い材料で形成した磁気分路を用
いてプラズマ源(上部チャンバー16A)にBフィール
ドを発生させウエハには発生させない。またオプション
として、永久磁石あるいは電磁石を、下部チャンバー1
6Bの周囲の通常N−S−N−S…N−Sという交互の
磁極構成の多極配列に置いてプラズマ源もしくはチャン
バー壁に多カスプ磁気ミラーを生成することができる。
磁石は垂直の棒磁石あるいは好適にはたとえば水平なリ
ング磁石とすることができる。かかる磁石は壁への電子
損失を低減し、それによってウエハを磁界にさらすこと
なくプラズマ密度とプラズマの均一性を向上させるのに
用いることができる。 4)RF電源の結合と同期 上述したように、上部あるいはアンテナRF電源の動作
の好適な周波数と下部あるいはバイアスRF電源の動作
の好適な周波数は好都合なことに同じ範囲に入ってい
る。ここで選択することのできる構成として、これらの
二つのRF電源を別々に使用する代わりに一つの電源源
に結合する方法がある。より一般的にいえば、三つのR
F信号(第3あるいは上部電極へのRFバイアスを含
む)のすべてを一つの電源から供給する、あるいはアン
テナと下部バイアスに一つの電源を用い、第3電極に第
2のプラズマ源を用いる、あるいは三つの別々の電源を
用いるといった可能性がある。別々の電源が用いられる
場合、考慮しなければならないことは別々のRF信号の
周波数は等しくなければならないか、等しくなければな
らないとすればこれらの信号をなんらかの所望の位相関
係にロックしなければならないかどうかということであ
る。予備的な研究からこれらの質問に対する答は主に選
択された動作周波数によることがわかっている。二つあ
るいは三つのRF電源に対して一つの周波数を選択でき
る場合、またその周波数がこのシステムが用いられる別
の加工について変更される可能性がない場合、単一のR
Fソースが論理的選択ということになる。上のサブパラ
グラフ1−3に論じた考察に基づいて、これらのプラズ
マ源に対して異なる周波数が必要である場合、あるいは
異なる加工に用いるために周波数を変更しなければなら
ない場合、別々のRF電源が必要になる。別々の電源源
があり、同じ周波数が選択される場合、位相同期が問題
になる。たとえば、プラズマ源はアンテナへのRF電圧
入力と下部あるいはウエハ電極へのRF電圧入力の間の
位相角が加工の繰り返し精度を最適化するために選択さ
れた一定の値に維持されるように同期することができ
る。約10MHz以上といった高い周波数では、動作は
位相あるいは周波数の同期とは無関係と見られる。 5.アンテナの同調と負荷 1)同調 通常、アンテナ30は(1)発電器31の周波数をアン
テナと共振するように変化させることによって、あるい
は(2)共振に同調するためにアンテナに接続された別
の共振要素によって共振に同調される。たとえば、この
同調要素は可変のインダクタンス−アース、あるいは可
変のキャパシタンス−アースとすることができる。
【0038】誘導同調および容量性同調は共振周波数を
低下させることに注意しなければならない。したがって
このシステムを望ましい最も高い共振周波数となるよう
に構成してキャパシタンスあるいはインダクタンス同調
変数を用いるさいに共振周波数の低下に対処するように
することが望ましい。自動同調は好適であり、インピー
ダンス位相/振幅検出器を用いて同調/負荷変数を駆動
することによって実行することができる。図6と9節を
参照。また、反射電力ブリッジあるいはVSWRブリッ
ジを用いて同調変数と負荷変数の両方を駆動することが
できるが、反復が必要である。 2)ローディング 導電性、容量性、あるいは誘導性負荷手段Lを用いてプ
ラズマ源アンテナ30をRF発電器31と接続用同軸ケ
ーブル31Cのインピーダンスに一致させることができ
る。たとえば、タップあるいはワイパを50オームある
いは300オーム近辺あるいはアンテナ上の他の発電器
出力インピーダンス位置にオーミックに接触させること
ができる。また、可変インダクタンスあるいは可変キャ
パシタンスをアンテナ上の発電器出力インピーダンス点
50に接続することもできる。 3)同調回路と負荷回路 図4および図9において、好適にはプラズマ源アンテナ
30と一体でプラズマ源を共振に紅潮させる同調手段T
が設けられる。また、一体負荷手段Lがプラズマ源アン
テナ30の入力インピーダンスを関連の発電器31(あ
るいは伝送線31C)の出力インピーダンスに一致させ
るために用いられる。図4において、ある側面において
は、同調手段Tはアンテナ30の一端とRFアースの間
に電気的に接続された可変キャパシタンスである。
【0039】図5に示すように、また別の側面において
は、負荷手段Lはアンテナの一端とRFアースの間に電
気的に接続された可変キャパシタンスとすることができ
る。また、この負荷手段はアンテナにRF入力電力を加
える可変位置タップ60とすることができる。図6を参
照されたい。図7に示す好適な組合せにおいて、同調手
段Tはアンテナ30の一端とRFアースの間に電気的に
接続された可変キャパシタンスであり、負荷手段Lはア
ンテナの他端とRFアースの間に電気的に接続された別
の可変キャパシタンスである。この構成では、RF入力
電力はタップを介して、すなわちアンテナに沿ってある
いはそのいずれかの端部に設けられたタップを介してア
ンテナに印加することができる。図8を参照されたい。
また、RF電力入力接続部66を図9に示すように負荷
可変キャパシタンスLとアンテナ30の端部の接続部に
配置することができる。 6.ソース/バイアス加工制御 また、この発明は十分に高いバイアス電圧を用いて高い
二酸化けい素エッチング速度を提供し、バイアス電圧を
低い値に周期的にパルス化することによって、二酸化け
い素等の材料のエッチング速度が上がり、けい素等の材
料と比較して二酸化けい素のエッチング選択度が増すと
いう発見を含んでいる。 1)パルス/変調バイアス−エッチング速度と選択度の
向上 図10において、通常二酸化けい素SiO2等の材料のエッ
チング速度は、バイアス電圧が上がるにつれて増大す
る。したがって、バイアス電圧を上げれば酸化物のエッ
チング速度が上がる。しかし、残念ながらけい素/ポリ
シリコン等の集積回路構造中の関連する材料のエッチン
グ速度もまたバイアス電圧につれて上がる。したがっ
て、非常に高い二酸化けい素エッチング速度を提供する
十分な大きさのバイアス電圧を用いると、けい素エッチ
ング速度は(酸化物エッチング速度よりいくぶん低い
が)高すぎる値になり、選択度が低下する。二酸化けい
素をエッチングするさいには、高い直流バイアス電圧V
h の特徴である高い酸化物エッチング速度と低い直量バ
イアス電圧V1 の特徴である比較的低いけい素エッチン
グ速度の組合せ、したがって高い酸化物選択度を得るこ
とが非常に望ましいことはきわめて明白である。
【0040】ここで図11の直流バイアス電圧波形70
を見ると、Vh とV1 の特性を組み合わせるという前の
パラグラフで示した一見矛盾する目的は実際には高ベー
スライン直流バイアス電圧Vh を用い、この電圧を低い
値V1 に周期的にパルス化あるいは変調することによっ
てポリマー形成エッチング処理(けい素等の材料の上に
エッチング抑制ポリマーを形成する処理)において達成
される。V1 はけい素エッチングとけい素蒸着の間の交
差点/電圧68(図10)以下であり、酸化物交差点/
電圧69以上である。その結果、保護ポリマーがけい素
上に蒸着され高速エッチング電圧Vh に復帰する間エッ
チングを抑制するが、Vh での酸化物のエッチングをに
重大な抑制を加えるような蒸着は酸化物上に発生しない
か、発生しても不十分である。好適には、V1 はポリマ
ー上の蒸着を特徴とするが、少なくとも酸化物のわずか
なエッチングである。この発明の一実施例においては、
パラメータVh (高直流バイアス電圧)、V1 (低直流
バイアス電圧)、Pw (低電圧V1 のパルス幅)、およ
びPrp(低電圧パルスと高電圧パルスのパルス繰返し率
あるいは結合された幅)の値はそれぞれ−400V、−
225V、約0.1秒、および約1秒である。 2)2周波数バイアス 代替の方法を図12の直流バイアス電圧波形71によっ
て示す。比較的低い周波数電圧変動が基本バイアス電圧
周波数に重畳される。たとえば、低い周波数T 2 <25
KHz (好適には5−10KHz )をベース高周波T1
<2MHz に重畳あるいは混合される。酸化けい素は絶
縁体である。けい素/ポリシリコンは通常非常に薄い固
有酸化物層しか持っていない。したがって、低周波数T
2 の直流バイアス電圧変動は酸化物表面には見られな
い。なぜなら、これは帯電しているためである。しか
し、基本的に絶縁されていないポリシリコンは低周波数
2 サイクルの低電圧のエクスカーション72(V1
中に保護層を形成することによって前述したものと同様
の態様で低周波数T2 に反応する。この低周波数で形成
した層は高周波数T1 サイクルの変動する高電圧エクス
カーション73中、エッチングを不能とする。前述した
ように、二酸化けい素の絶縁性によってT2 の低電圧エ
クスカーション中、エッチング抑制蒸着を不能とし、酸
化物エッチングがT1 サイクルの高電圧部分の期間に抑
制されることなく進行する。
【0041】つまり、低周波数サイクルT2 の低電圧エ
クスカーション72中にけい素上に保護層が形成され、
蒸着を抑制することなく酸化物を急速にエッチングする
高周波数サイクルT1 の高電圧エクスカーション73中
のけい素エッチングを抑制する。その結果、上述したパ
ルス/変調による方法の場合と同様に、高い酸化けい素
エッチング速度、比較的低いけい素エッチング速度およ
び酸化物に対する高いエッチング選択度が得られる。パ
ルス/変調法は現在2周波数バイアス法により好適であ
ることに注意しなければならない。これは前者が精密な
制御を行うことができるためである。 7.ファラデーシールド 入力端に負荷コンデンサL、他端に同調コンデンサT、
また入力端に比較的低い電圧、他端にそれよりはるかに
高い電圧を有する典型的なアンテナ30のコイル構成に
ついて考察する。グラウンドに近いコイルの最下部の巻
線は低電圧RF入力に接続されている。通常、プラズマ
はガスの分解を静電的に開始することによってプラズマ
を開始する同調端に近い比較的高電圧の巻線の静電界に
さらされる。分解の開始に続いて、プラズマへの結合は
主として電磁的すなわち誘導的なものになる。このよう
な動作は周知である。定常状態の条件下では、通常、静
電結合と電磁誘導結合の両方が存在する。電磁結合の方
が優勢であるが、加工の種類によっては静電界に敏感な
ものもある。たとえば、ポリシリコンのエッチングには
酸化物のエッチングを防止するために低エネルギー粒子
と低エネルギー衝撃が必要である。
【0042】図1および図15について説明すると、定
常状態の静電界を減少させるには、この発明のチャンバ
ーにはオプションとしてファラデーシールド45を内蔵
することもできる。図15Aに示す実施例における構造
は、ドーム壁17Wとアンテナ30を取り囲む接地され
た間隔を置いた軸方向に伸長するポストあるいはバーそ
の他の円筒状の配列からなる、“単一”ファラデーシー
ルド45Sと呼ばれるものである。この単一シールドは
大きな間隔をおいた構成からシールドの各部分の間の間
隔が非常に小さい構成までさまざまな態様とすることが
できる。
【0043】図15Bはその一方のバーが他方の間隔に
重なるように間隔をおいた一対の同心のシールドからな
るいわゆる“全”ファラデーシールド45Fを示す。こ
れは、シールドを通る電界線の視線路を排除し、それに
よって静電界を分路する。ファラデーシールド45Sお
よび45Fにはさまざまな構成が可能であるが、現在好
適な構成は、図1に垂直断面図で示す外向きのフランジ
の付いた導電性の端部の開放した円筒状の構成である。
単一壁あるいは二重壁の開口を設けたフィールド面4
6、47、48がアンテナの頂部、内面(ソース)およ
び底面の周りに伸長し、グラウンド側49(ここは開放
していなくてもよい)がアンテナの外側に位置する。こ
の構成によれば、アンテナ30からの電磁波の軸方向の
磁気成分がプラズマ16を生成するアンテナの平面に平
行な閉ループ電界を誘起することを可能にする。しか
し、シールド45はグラウンドへの直接電界成分を容量
的に分路し、高周波電磁エネルギーの直接電界成分がプ
ラズマに結合するのを防止する。シールド45を用いる
と、アンテナの変動する電圧が容量性変位電流結合のマ
ックスウェル方程式にしたがってプラズマに結合する。
これによってプラズマ密度とウエハ5のエネルギーに不
均一性と勾配が誘起され、加工の不均一性や高エネルギ
ー荷電粒子が発生する可能性がある。積分形式で表した
ファラデーの法則によれば面を通る変化する磁界によっ
てその面に閉じた電界が発生しなければならない。この
現象を微分形式で表すマックスウェル方程式は誘起され
た電界のうずは磁界の変化の負の時間率に比例すること
を示している。正弦励起の場合、誘起されたEは変化す
るBフィールドの放射周波数およびそのピーク振幅に比
例する。
【0044】つまり、不連続あるいはスリットの入った
あるいは分割されたファラデーシールドはコイルからの
変化する電磁界に対するシールドの短絡効果を最小限に
し、うず電流損失を低減し、高周波の軸方向の磁界のプ
ラズマへの結合を可能にし、プラズマを生成する閉ルー
プ電界を誘起するが、この電界(これはアンテナ上で変
化する)のプラズマへの直接結合を不能にし、それによ
ってプラズマの不均一性や高エネルギー荷電粒子に対す
る処理の不均一性といった損失を排除する。 8.磁界の制限と増強 1)制限 円筒/ドームプラズマ源の壁17Wにおける損失(プラ
ズマ密度の低下)を低減するために、周辺の環状(浅
い)磁界を発生する磁気装置が設けられている。図13
の水平断面図に示す好適な構成では、この磁界は軸方向
の永久磁石あるいは電磁石76−76を近接して配置し
た“バケツ”あるいは円筒状の多極配列によって提供さ
れ、磁石はそれぞれがその短尺方向に着磁されて閉じた
交番磁極の周辺−N−S−N−S−磁界Bを形成する。
この多極配列はドーム壁に他カスプ磁気ミラー77を生
成する。また、この配列は水平なリング磁石とすること
もできる。かかる磁石は壁17Wの電子損失を低減し、
ウエハを磁界にさらすことなくプラズマの密度と均一性
を向上させる。
【0045】また同様に、永久磁石あるいは電磁石を下
部チャンバー16Aの周囲に、通常はN−S−N−S…
N−Sの交番する構成の多極配列に配置して、チャンバ
ー壁に多カスプ磁気ミラーを発生させることもできる。
これらの磁石は垂直方向の棒磁石とすることができ、ま
た好適にはたとえば水平なリング磁石とすることができ
る。かかる磁石は壁の電子損失の低減に用いることがで
き、それによってウエハを磁界にさらすことなくプラズ
マの密度と均一性が向上する。さらに、磁石の放射状の
配列を円筒状プラズマ源のドームの頂部あるいは頂部プ
レート17T上に取り付けて頂部での損失を低減するこ
ともできる。
【0046】図3について説明すると、基板加工領域1
6B中のプラズマは、ほぼ平面状をなす磁石の格子をプ
ラズマ源領域の底部と加工領域の頂部に配置することに
よって、発生あるいはプラズマ源領域16A中のプラズ
マから減結合することができる。この磁気格子は、上述
したバケツ構成と同様に近接したほぼ平行な磁気バー7
8−78からなり、その短尺方向にNS着磁されて磁力
線が一つのバーから出て次のバーで終了する平面構成の
−NS−NS−NS−磁界を提供する。その結果得られ
るプラズマ源の開口部15にかかるほぼ平面状の磁気フ
ィルター79が磁界をこの平面とプレートの領域に制限
し、プラズマ源にもウエハ領域にも侵入しない。
【0047】F=qV×Bの関係から、プラズマ源の高
エネルギー/高速電子はこの磁界79によってイオンよ
りも高い程度で曲げられ、あるいははね返され、基板加
工領域に貫入することができない。これによって加工領
域16B中の高エネルギー電子の密度が低下し、同領域
のプラズマ密度が低下する。加工領域とプラズマ源領域
は減結合される。
【0048】このフィルターによる磁気制限法は小型シ
ステムのプラズマ領域を減結合するのに特に有効であ
る。すなわち、たとえば基板上のイオン密度を高くする
ことなく高い基密度を提供し、同時にコンパクトさを維
持する。ある好適な構成では、フィルター磁気制限は空
気冷却用の中空のバーと細長い磁石を有する機械仕上げ
のアルミニウム板を用いて実施される。
【0049】バケツ磁気制限構成とフィルター磁気制限
構成を一緒に用いることもできる。 2)増強 上述したように、図3に示す一つあるいはそれ以上の
(好適には少なくとも二つ)永久磁石あるいは電磁石8
1−81を用いてアンテナコイルの水平面と高周波RF
放射アンテナによって誘起される電界の両方に対して直
角をなしまたそれらを通るほぼ軸方向の静電界を形成す
ることができる。好適にはつぎに説明するように、三種
類の磁界、すなわち均一磁界、発散磁界あるいは磁気ミ
ラーのうちの一つが用いられる。
【0050】図14(A)について説明すると、磁石8
1−81によってウエハ5に直角に加えられる同質の軸
方向の均一な磁界82は電子の運動を壁に制限する。イ
オンが高周波磁界変動に追従できないため、イオンは電
子の不足にしたがってウエハ上のプラズマ中に集まる。
最大限の効率を得るには、この磁界あるいは他の静磁界
を高周波電磁界で共振に同調することができる。Ω=2
πF=Be/m、ここでBは磁束密度であり、eとmは
それぞれ電子の電荷と質量である。
【0051】軸方向の発散磁界83の概略を図14
(B)に示す。磁気モーメントの保存により、磁界の軸
勾配が円並進エネルギーを軸並進エネルギーに変換し、
電子とイオンを強い磁気領域からより弱い磁気領域に駆
動しようとする。発散磁界は電子とイオンをプラズマ発
生領域から押し出しプラズマをウエハに集中させるのに
用いることができる。
【0052】図14(C)と図14(D)について説明
すると、ふくらし磁界あるいは助成磁界84(図15
(C))とカスプ状あるいは対向磁界85(図15
(D))を示している。これらのいわゆる“磁気ミラ
ー”磁界のそれぞれの効果は軸方向発散磁界の効果と同
様である。荷電粒子が比較的強い磁界領域から比較的弱
い中央の領域に駆動される。
【0053】磁石を選択的に配置し、また単一あるいは
複数の磁石によって提供される磁界の強さを選択および
変化させることによって、関連する均一な発散磁界ある
いは磁気ミラー磁界を制御された態様とし、ウエハのプ
ラズマ密度を増大させる。磁気ミラー磁界の場合、最大
のプラズマ密度増強を得るための好適なウエハ位置は張
り出しあるいはカスプ上あるいはそれに密接する位置で
あり、これによって最大限のプラズマ密度増強が得られ
る。
【0054】アンテナのボリュームに軸方向の磁界を用
いてプラズマの生成を向上させたいがウエハ上では磁界
を排除したいという場合がある。(軟鉄用のニッケルあ
るいは鋼鉄等の)の高透磁性材料でできた環状のディス
クを磁石とアンテナの平面の下ウエハ5の上に介装する
ことができる。 3.抽出 適当な磁界を用いてイオンと電子をウエハ方向に抽出す
ることができる。 9.制御システム 以下の定義は図16に示す制御システムについて用い
る。
【0055】Psp 電力設定点 Pf 正方向電力(電源に配置した方向性結合器によ
って測定) Pr 反射電力 (電源に配置した方向性結合器によ
って測定) |Z| インピーダンスの大きさ <phi インピーダンスの位相 Tsp 同調設定点 Lsp 負荷設定点 Tfb 同調フィードバック(測定値) Lfb 負荷フィードバック(測定値) 図16は電源を含む各種の構成要素を制御するための代
表的なシステムのブロック図である。ここで、システム
コントローラ86はアンテナ電源31、インピーダンス
ブリッジ87、アンテナ30、バイアス電源31、イン
ピーダンスブリッジ88、整合ネットワーク43、およ
びカソード32とインターフェースされている。イオン
束密度とイオンエネルギーに対して選択された処理パラ
メータ、アンテナ電力および直流バイアスがコントロー
ラ86への入力として供給される。また、コントローラ
86はガス流、チャンバー圧力、電極あるいはウエハの
温度、チャンバーの温度、その他のパラメータを制御す
る。コントローラはアンテナ30に接続された Tsp1ラ
インおよび Lsp1ライン上に信号を発することによって
初期の同調1および負荷1の条件を設定することができ
る。また、コントローラは整合ネットワーク43に接続
された Tsp2ラインおよび Lsp2ライン上に信号を発す
ることによって初期の同調2および負荷2の条件を設定
することができる。通常、これらの条件はプラズマの開
始(ガスの降伏)を最適化するように選択される。電力
はまずアンテナ30あるいはカソード32のいずれかま
たはその両者に同時に印加することができる。コントロ
ーラ86はアンテナ電源31への Psp1ラインとバイア
ス電源42への Psp2ライン上に同時にあるいは順次
(順次はどちらが先でもよい)電力設定点を発する。
【0056】電子なだれ降伏がガス中で急激に発生し、
プラズマが生成される。コントローラ86はアンテナ3
0との間の正方向電力(Pf1)および反射電力(Pr1)
を監視し、またカソード32との間の正方向電力(Pf
2)および反射電力(Pr2)を監視する。直流バイアス
(カソード−アノード直流電圧)もまたコントローラ8
6に示すように監視される。コントローラは(a)正方
向電力Pf1および反射電力Pr1あるいは(b)インピー
ダンスの大きさ|Z1|とインピーダンスの位相<phi
1のいずれかに基づいてライン Tsp1および Lsp1上に
設定点を発することによってコイル同調1および負荷1
のパラメータを調整する。ブリッジ87はコントローラ
にインピーダンスの大きさと位相角の情報を与える。ア
ンテナ30は反射電力Pr1がほぼゼロであるとき、また
インピーダンス(大きさと位相|Z1|<phi )がコイ
ル電源出力インピーダンスの複素共役であるときマッチ
ングしている。(ゼロ反射電力条件と共役インピーダン
ス条件は同時に発生する。したがって、反射電力が最小
化されるか、インピーダンスが整合するかのいずれかで
あり、その結果は同じになる。あるいは、VSWR(電
圧定在波比)あるいは反射係数が最小になる。)コント
ローラ86は(a)正方向電力Pf2および反射電力Pr2
あるいは(b)インピーダンスの大きさ|Z2|とイン
ピーダンスの位相<phi 2のいずれかに基づいてライン
Tsp2および Lsp2上に設定点を発することによってカ
ソード32と整合ネットワーク同調2および負荷2のパ
ラメータを調整する。ブリッジ88はコントローラにイ
ンピーダンスの大きさ|Z2|と位相<phi 2の情報を
与える。アンテナのマッチングと同様に、反射電力Pr2
がほぼゼロであるとき、またインピーダンス(大きさ|
Z2|と位相<phi 2)がバイアス電源504出力イン
ピーダンスの複素共役であるときマッチングが起こる。
直流バイアスはコントローラ86によって監視される。
コントローラ86はバイアス電源の出力電力を変化させ
て所望の測定直流バイアスを得る。コントローラ86は
直流バイアスの所望の値から直流バイアスの測定値を減
算する。その差が負である場合、バイアス電源42の出
力が上げられる。その差が正である場合、バイアス電源
の出力が下げられる(バイアス電源の出力が高いほど直
流バイアスは負の方向に大きくなる。)この方法によれ
ば、比例制御、比例積分制御、あるいは比例積分微分制
御あるいはその他の制御を用いることができる。
【0057】また、バイアス電源42の出力を調整して
一定の直流バイアスを維持するこの実施例に替わって、
定バイアス電源出力を用いることもできる。上述した直
流バイアスサーボマッチング技術に加えて、ピーク−ピ
ークRF電圧へのサーボによっても自動同調を行うこと
ができる。この後者の方法はたとえば計器の駆動用の電
流を提供するのにカソードとアノードに十分な導電面積
を必要とするある種のエッチング処理においては有効で
あることがある。ポリマーコーティング技術を用いると
これらの導電領域が不動態化され、電流によって計器が
飽和することを防止して有効な読みが得られる。それと
対照的に、ピーク−ピークRF電圧の方法は特に好適な
周波数範囲に関連する低周波数においては影響を受けな
い。測定値はカソードではなくチャンバーに近い整合ネ
ットワーク43で得ることができる。
【0058】コントローラ86は中央制御装置あるいは
制御装置の分散形システムとすることができる。感度の
よいウエハ装置構造を得るにはターンオン/ターンオフ
シーケンスが重要である。一般に、プラズマ源を始めに
オンして最後にオフするのが好適である。これはこの方
法によればシース電圧の変化を最小限にできるためであ
る。アプリケーションによっては、バイアスを先にオン
する方がよい場合もある。 10. 伝送線構造 参照した特許出願米国特許559,947 号に詳細に説明して
いるように、適正な同軸伝送線の設計には、低い特性イ
ンピーダンスを介した給電、整合ネットワークからウエ
ハまでの短い伝送線、そして伝送線に沿ったリターンパ
スが必要である。この設計条件はカソード32C、同心
環状導体320、およびカソード32Cを取り囲むカソ
ードを同心環状導体320から絶縁し、降伏の可能性の
あるプロセスガスを置換する非孔質の低損失絶縁体32
Iからなる図1に示す一体伝送線構造32によって満足
される。たとえば、TeflonTMや石英の材料は絶縁耐力が
高く、比誘電率が低く、損失が少ないため好適である。
この構造の入力側は次に説明する方法で整合ネットワー
クに接続されている。絶縁されたカソード32Cと外側
導体320は整合ネットワーク43とプラズマ16の間
に別々の電流路を提供する。一つの可逆電流路は整合ネ
ットワークからカソード32Cの外周に沿ってチャンバ
ー(電極)の表面のプラズマシースに向かう。第2の可
逆路はプラズマ16からチャンバー癖12の上部の内側
の部分に沿って次に導電性排気マニホルドスクリーン2
9に沿って外側導体320の内部を経て整合ネットワー
クに向かう。排気マニホルドスクリーン29は均一径方
向ガスポンピングシステムとRF電流のリターンパスの
一部をなすことに注意しなければならない。
【0059】交流エネルギーの印加中、RF電流路の方
向は交互に図示する方向とその逆の方向になる。伝送線
構造32は同軸ケーブル型の構造であるため、またより
詳細にはカソード32Cの内部インピーダンスが(その
外側に比べて)高いため、RF電流は同軸伝送線の態様
でカソード32Cの外面と外側導体320の内面に流れ
る。表皮効果によってRF電流が伝送線の表面の近くに
集中し、電流路の有効断面積が減少する。たとえば直径
4−8インチといった大きなウエハとそれに対応する大
径のカソード32Cおよび大径の外側導体320を用い
ると、有効断面が大きくなり、低インピーダンス電流が
この伝送線構造を流れる。
【0060】また、同軸型伝送線構造32がその特性イ
ンピーダンスZ0 に等しい純抵抗で成端される場合、整
合ネットワークは伝送線の長さと無関係に一定のインピ
ーダンスZ0 を有する。しかし、実際にはこのようには
ならない。それはプラズマはある範囲の圧力と電力にわ
たって動作し、さまざまなガスからなり、これらのガス
が集合的にプラズマが伝送線32の終端で提供する負荷
インピーダンスZ1 を変化させるためである。負荷Z1
は理想的でない(すなわち無損失でない)伝送線32に
整合していないため、伝送線上にある定在波が伝送線と
整合ネットワークの間の抵抗損失、誘電損失その他の損
失を増大させる。整合ネットワーク43は定在波や整合
ネットワークの入力から増幅器あるいは電源42までの
損失を除去するのに用いることができるが、整合ネット
ワーク、伝送線32、およびチャンバー内のプラズマは
伝送線32と整合ネットワーク43の間の抵抗損失、誘
電損失その他の損失を増大させる共振系を構成してい
る。つまり、負荷インピーダンスZ1 は損失と整合しな
いが、Z1 =Z0 のおき損失は最小限になる。
【0061】負荷の不整合に起因する損失をなくすため
に、同軸型伝送線構造32はプラズマ動作に伴う負荷イ
ンピーダンスの範囲に最も適した特性インピーダンスZ
0 を持つように設計される。通常、上述の動作パラメー
タ(例:バイアス周波数範囲は約0.3−3MHz )と考
察している材料に対しては、プラズマから伝送線に与え
られる直列等価RC負荷インピーダンスZ1 は約10オ
ームから100オームの範囲の抵抗と約50ピコファラ
ドから約400ピコファラドの範囲のキャパシタンスか
らなる。したがって、伝送線特性インピーダンスZ0
最適値としては、負荷インピーダンス範囲の中間、すな
わち約30オームから50オームが選択される。
【0062】整合ネットワークの見るプラズマインピー
ダンスの変形を避けるために伝送線32は非常に短いも
のでなければならない。好適には、伝送線は1/4波長
(λ/4)よりはるかに短い。より好適には約(0.05
−0.1)λである。また、電力結合をより効率的に行う
ためには、帰り導体320の内径(断面寸法)は中央導
体32Cの外径(断面寸法)より著しく大きいものであ
ってはならない。
【0063】つまり、このチャンバーは整合ネットワー
ク31からの電力をプラズマ33に結合する伝送線構造
を内蔵している。この伝送線構造は(1)プラズマイン
ピーダンスの変形を防止するために対象とする周波数に
おける1/4波長に比べて非常に短いかあるいは半波長
にほぼ等しいのが好適であり、(2)プラズマと整合ネ
ットワークの間の線上の定在波の存在に起因する損失を
抑制するように選択された特性インピーダンスZ0 を有
し、(3)断面寸法が中央導体の断面寸法よりさほど大
きくない外側導体路を用いる。 11. チャンバー温度制御 反応装置チャンバーシステム10に組み入れることので
きる温度制御機能には、吸気マニホルドの内部もしくは
外部温度をある一定の値の上下に、あるいはある一定の
範囲内に維持するための流体伝熱媒体の使用、カソード
32Cの抵抗加熱、カソード32Cの流体伝熱加熱ある
いは冷却、ウエハ15とカソード32Cの間のガス伝熱
媒体の使用、チャンバー壁12−14もしくはドーム1
7を加熱あるいは冷却するための流体伝熱媒体の使用、
およびウエハ15をカソード32Cに拘束するための機
械手段あるいは静電手段が含まれるが、これらには限定
されない。かかる機能はここで参照した同時譲渡された
1989年10月10日付け米国特許第4,872,947 号お
よび同時譲渡された1989年6月27日付け米国特許
第4,842,683 号に開示している。
【0064】たとえば、再循環閉ループ熱交換器90を
用いて流体、好適には誘電流体を、流路91に概略を示
すようにウエハ支持体/カソード32Cのブロックおよ
び受台に流して、ウエハ支持体を冷却(もしくは加熱)
することができる。酸化けい素エッチングの場合、たと
えば−40℃の誘電流体温度が用いられる。上述したよ
うに、ウエハ5とウエハ支持体32の間の熱の伝達はウ
エハと支持体の界面のヘリウム等の不活性ガス伝熱媒体
によって増強される。
【0065】チャンバー壁とドームは空気の対流(吹き
出された空気)もしくは誘電流体熱交換器によって加熱
もしくは冷却することができる。たとえば、閉回路熱交
換器92は通路93に沿ってチャンバーの側壁に加熱か
ら冷却までたとえば+120℃から−150℃までの範
囲の制御された温度で誘電流体を再循環させる。同様
に、ドーム側壁17Wと頂壁17Tは通路95、97に
沿って流体を再循環させる熱交換器94、96によって
加熱もしくは冷却することができる。
【0066】代替の誘電体熱制御システムにおいては、
アンテナコイル30はドームの二重壁17Wの間に再循
環する誘電流体に浸された状態で配置される。別の代替
のドーム誘電流体熱制御法では、アンテナ30のコイル
が高温プラスティックあるいはTeflonTM中に封じられ、
伝熱性サーマルグリースがこの封入されたアンテナとド
ームの間に塗布され、中空のコイルが誘電流体をこのコ
イルに流すことによって加熱もしくは冷却される。RF
エネルギーもまたコイルに加えられ、またプラズマに近
接していることから、誘電油は、許容できる流量での効
率的熱伝達のための高い固有比熱および密度に加えて、
良好な誘電および絶縁特性さらに高い沸点を持っていな
ければならない。適当な誘電流体としてはDuPontの販売
するSilthermがある。 12. 3電極構成 図1について説明すると、現在の好適な実施例ではこの
チャンバーは新しいプロセス制御と改善を可能とする独
特の3電極構成を内蔵している。この構成はカソード
(好適にはウエハ支持電極32)、アノード(好適には
チャンバー側壁および底壁)および頂部電極からなり、
頂部電極はドームの頂部プレート17Tである(あるい
はこれを含む)。図1に示すように、頂部電極は浮動、
接地されたもの、あるいはRF電源40に接続されたも
のである。頂部電極はさまざまな構成を含み、さまざま
な材料で構成することができる。すなわち、導電性材料
(好適にはアルミニウム)、陽極処理したアルミニウム
等の誘電体コーティングした材料、アルミニウム−けい
素合金等のけい素あるいはけい素含有材料からなり、あ
るいはけい素ウエハのような犠牲けい素部材17Sを含
むがシリコンウエハには限定されない。 1)接地された第3の電極 接地された頂部プレート17Tは(壁12によって与え
られる従来の基準に対して)バイアス電圧の接地基準面
を向上させ、その結果プラズマ源16Aから加工領域1
6Bへのイオン抽出を増強し、したがって加工速度(エ
ッチング速度等)を増大させる。さらに、接地された頂
部プレートは(プラズマ源で生成された)プラズマとウ
エハの結合を向上させる。 2)バイアスされた第3電極 RFバイアスされた第3の電極を(けい素含有部材を含
むあるいはけい素含有部材に覆われた電極を用いた)自
由けい素のソースプラズマへの供給と組み合わせて用い
ると、エッチング速度や選択度を含むさまざまな加工特
性が向上する。ソースプラズマの強い解離特性に助けら
れて、けい素は気相に入り、自由ふっ素と結合/除去す
る。(ソースプラズマの解離特性からふっ素含有ガス化
学作用をたとえば酸化物エッチングに用いると、高い濃
度が得られる。これによって酸化物のエッチング速度が
上がるがポリシリコン等の関連のウエハ材料のエッチン
グ速度も上がり、したがってポリに対する酸化物の選択
度が下がる。)自由けい素によるふっ素除去によって、
チャンバー上や酸化物の側壁上の蒸着を含めたポリマー
蒸着の傾向のより少ない、いわゆる“より軽い”ポリマ
ー化学作用の使用が可能になる。その結果、酸化物エッ
チング速度が上がり、ポリに対する酸化物の選択度が上
がり、酸化物エッチングの異方性と垂直プロファイルが
増強され、マイクロローディングが提言される。さら
に、自由けい素は重合反応に影響し、けい素上で酸化物
に対してより安定した不動態化ポリマーの蒸着を発生さ
せ、ポリシリコンのエッチング速度の抑制が向上し、け
い素に対する酸化物の選択度が上がる。
【0067】さらに、犠牲けい素含有第3電極はCOも
しくはCO2 添加剤等の炭素および酸素含有ガスの使用
と相乗的に動作してポリシリコン表面にポリマーを形成
する。これによってけい素エッチングの抑制が大きくな
り、けい素に対する酸化物の選択度が高くなり、酸化物
上のポリマー側壁蒸着が増大し、したがってエッチング
の異方性と酸化物の垂直側壁エッチプロファイルが向上
する。ここでは、“相乗的”ということばをあえて用い
ているが、これは炭素および酸素含有ガス化学作用と犠
牲けい素含有電極の使用の組合せから得られる以上の加
工の改善が、単にこれら二つの特徴の個々の利点が加わ
るというよりはるかに大きいためである。さらに、これ
らの特徴をCHF3 主エッチャントを含むガス化学作用
に用いても酸化物エッチング速度が上がり、他のふっ素
化学作用に比べてポリシリコンエッチング速度が低下す
るという点で相乗効果がある。 例:酸化けい素上でのポリシリコンエッチング けい素ウエハ上の酸化けい素の上にポリシリコンのエッ
チングを、この発明の3電極チャンバー内で約2mtから
約20mtの範囲の圧力、50ccの塩素(Cl2 )エッチャ
ントガス流量(マニホルドG1のみ)、1500ワット
の電源電力、20ボルトのバイアス電圧、および接地さ
れた頂部電極(けい素なし)を用いて行った。その結
果、3500−4000オングストローム/分のポリシ
リコンエッチング速度、垂直なエッチングプロファイ
ル、および酸化物に対して>100:1のポリシリコン
の選択度が得られた。 例:酸化けい素蒸着 けい素ウエハ上での二酸化けい素の2ステップバイアス
スパッタ蒸着を、この発明の3電極チャンバー内で約2
mtから約10mtの範囲の圧力(両ステップとも)、アル
ゴン約200cc/酸素約90cc/シラン約45ccのガス
流量(両ステップとも、マニホルドG1のみ)、200
0ワットの電源電力(両ステップとも)、接地された頂
部電極(両ステップとも)、約−20ボルトのバイアス
電圧(第1ステップ)、および約100−200ボルト
(第2ステップ)を用いて行った。その結果、第1ステ
ップ(スパッタリングなし)において>7500オング
ストローム/分の蒸着と、第2のステップにおいて約4
000−5000オングストローム/分の純酸化物蒸着
(プロファイル制御スパッタリング蒸着)が得られた。
【0068】例:ポリマー形成化学作用を用いたポリシ
リコン上の酸化けい素エッチング ポリシリコン上に酸化けい素を、この発明の3電極チャ
ンバー内で約2mtから約30mtの圧力、CHF3 、30
−60sccm/COあるいはCO2 、6−18sccm/Ar
、100−200sccm(マニホルドG1のみ)のガス
化学作用流量、2000ワットの電源電力、200ボル
トのバイアス電圧、頂部電極17Tと、そこに取り付け
られ2MHz 、1000ワットのRFエネルギーでバイ
アスされたけい素ディスク17Sを用いて行った。酸化
けい素は8000オングストローム/分の速度でエッチ
ングされ、ポリに対する酸化物の選択度は50:1であ
った。また、けい素含有体は石英ドーム壁17W上のシ
リカコーティングによって補強することができる。 13. エッチングアプリケーションの説明 1)半導体製造における重大な課題は下の層がポリシリ
コンであるとき、選択された厚みの二酸化けい素をエッ
チングすることである。酸化けい素は比較的高速でエッ
チングされ露出したポリシリコンはほとんどエッチング
されないようにするには高い選択度が必要とされる。残
念ながら、ポリシリコンは通常酸化けい素より速くエッ
チングする。この問題に対する従来の対策はプラズマガ
ス中にCF 4 と結合したCHF3 や水素、あるいはメタ
ンといった炭素、水素、およびふっ素の化合物を導入す
ることであった。この結果、ポリシリコンの上に薄い不
動態化層が生成され、酸化けい素に対して比較的高い速
度でエッチングを続けることができる。残念ながら、高
密度プラズマはプラズマ源領域においてフィードガスの
原子に分解する可能性があり、ウエハ上に形成される厚
いポリマー層が小さい装置寸法形状のエッチングをより
困難にする。この点における重要な概念は“マイクロロ
ーディング”であり、次のように定義される。
【0069】1−(エッチング速度比) ここでエッチング速度比はウエハの細部におけるエッチ
ング速度と大まかな部分におけるエッチング速度の比で
ある。したがって、あるエッチング処理が細部と大まか
な部分のいずれも同じ速度でエッチングが行われる望ま
しい特性を持っている場合、マイクロローディングは1
−1/1=0である。細部のエッチング速度がはるかに
遅い処理においては、マイクロローディングの値は1.0
に近くなる。
【0070】ここで説明したエッチングアプリケーショ
ンの困難な点は、高いエッチング選択度を得るためには
プラズマ中に比較的多量のポリマー形成ガスを用いなけ
ればならないが、ポリマー層のマイクロローディングは
ゼロよりはるきに大きくなることである。通常、0.1の
マイクロローディングの場合10:1以上の選択度比を
得ることは期待できない。しかし、マイクロローディン
グが事実上ゼロで30:1あるいは40:1といった高
い選択度比を要求するアプリケーションも多い。 2)プラズマ源領域におけるけい素の使用 高密度プラズマ源の場合、ポリシリコンを自然にエッチ
ングする解離生成物の一つはふっ素である。前述したよ
うに、けい素を用いてプラズマ源領域から自由ふっ素基
を取り除くことができる。けい素は第3電極17T上、
あるいはチャンバーの内壁17W上のコーティングの形
態を取ることができる。犠牲けい素が壁にある場合、け
い素の厚さが、RFエネルギーがアンテナ30からプラ
ズマに供給される周波数とともに問題になる。これらの
パラメータは十分なエネルギーがチャンバー壁を通して
電磁結合されるように選択しなければならない。17T
に示すようにけい素が第3電極に含まれる場合、けい素
の厚さはさほど重要ではない。いずれにしても、けい素
がプラズマ源領域からの捕集自由ふっ素に利用可能とな
った場合、ふっ化けい素(SiF4 )が形成される。こ
れは揮発性ガスであり、簡単にチャンバーの外に流出し
うるものである。ふっ素がこのようにして除去される
と、より低い濃度のポリマー形成ガスが必要であり、多
量のポリマーがウエハ上に堆積する傾向は少なくなる。
選択度は二つのメカニズムによって向上するように思わ
れる。まず、ふっ素が除去されることによってポリシリ
コンのエッチング速度が下がり、次に、プラズマ源領域
のけい素の存在はポリマー層の形成の態様に影響するよ
うである。理由はまだ十分明確になっていないが、ポリ
マー層は酸化けい素よりもポリシリコン上でより急速に
形成され、これもエッチング処理を向上させる。
【0071】けい素材料自体がこの過程でポリマーによ
ってコーティングされ、最後にはその効果を失うことが
ある。けい素が加熱されると、これはポリマーの形成を
減少させ、けい素が電気的にバイアスをかけられると、
けい素の表面の衝撃を十分増すことができ、ポリマーは
表面からスパッタされ、けい素が再び露出する。したが
って、この発明の一実施例においては、けい素をバイア
スされた電極に用いるが、代わりにけい素をバイアスさ
れていない電極の面あるいはチャンバー壁に含ませるこ
ともできる。 3)酸素含有添加ガスの使用 12節で述べたように、COあるいはCO2 等のガスを
プラズマ源領域に用いると、エッチング性能がさらに向
上する。すなわち、プラズマのポリシリコンをエッチン
グする能力が抑制され、ポリシリコンに対する酸化けい
素のエッチング選択度が向上する。さらに、酸化物上の
ポリマーの側壁蒸着が低減され、その結果エッチングの
異方性と酸化物の垂直側壁エッチングプロファイルが向
上する。好適な方法は酸素含有添加ガスを他の関係する
増強策、すなわちバイアスされた頂部電極に含められた
けい素とともに用いることであるが、酸素含有添加ガス
は、バイアスされた頂部電極を用いたり、プラズマ源領
域からのふっ素の除去にけい素を同時に用いなくとも効
果がある。 14. 他の特徴 1)プラズマ制御 この発明の特徴は“下部”電力を自動的に変化させて一
定のカソード(ウエハ)シース電圧を維持することであ
る。高度に非対照的なシステムにおいては低圧(<50
0mt)ではカソードで測定される直流バイアスはカソー
ドシース電圧の近似値となる。下部電力は自動的に変化
させて一定の直流バイアスを維持することができる。下
部電力はプラズマ密度やイオン流密度にはほとんど影響
しない。上部あるいはアンテナ電力はプラズマ密度やイ
オン流密度には非常に強い影響を持つが、カソードシー
ス電圧に対する影響は非常に小さい。したがって、上部
電力をプラズマ密度やイオン流密度を決めるのに用い、
下部電力をカソードシース電圧を決めるのに用いること
が望ましい。 2)差動バイアス ウエハ5をアースに対してバイアスする代わりに、図1
および図2に点線の接続50で示すようにバイアス整合
ネットワーク43と頂部プレート17Tをアースから外
し、互いをレファレンスとすることもできる。図2を説
明すると、頂部プレートは頂部プレートとウエハの間の
電圧VT-SSが頂部プレートと壁12の間の電圧VT-W
大きさの約2倍でウエハと壁の間の電圧VSS-Wの大きさ
の約2倍になるように差動的に駆動されバランスされ
る。このバランスされた差動駆動がプラズマと壁の相互
作用を少なくし、プラズマ源領域16Aとウエハ領域1
6Bの間の相互作用──イオン抽出──を多くする。 3)代替構成 この発明のプラズマ反応装置システムを図1に従来の向
き(垂直)で示す。基板5が電極32(カソード)に上
にあり、アンテナ30が電極の上のドーム17を取り囲
んでいる。便宜上、アンテナ30に供給される電力を
“アンテナ”あるいは“プラズマ源”あるいは“上部”
電力と呼び、電極/カソード32に供給される電力を
“バイアス”あるいは“下部”電力と呼んできた。これ
らの表現および名称は便宜的なものに過ぎず、説明され
たシステムは反転、すなわち電極32を上にアンテナを
この電極の下に配置して構成することもでき、あるいは
変更を加えることなく他の方法で配置する(たとえば水
平に配置する)ことができる。つまり、この反応装置シ
ステムは向きに関係なく機能する。反転した構成ではプ
ラズマはアンテナ30で生成され、上方に搬送されて本
明細書で説明した方法でアンテナの上に位置する基板5
に向かう。すなわち、活性種の搬送は拡散とバルクフロ
ーによって発生する。あるいは場合によっては軸勾配を
有する磁界に助けられて発生する。この過程は重力には
左右されず、したがって方向には比較的影響されない。
反転した向きはたとえば気相状態のプラズマ発生領域、
あるいは表面上で形成された粒子が基板に落下する可能
性を最小限にできるという点で有益である。その後重力
によってかかる粒子のうち最小のものだけが重力ポテン
シャル勾配に逆らって基板表面に向かって上昇する。
【0072】以上の詳細な説明から、この発明の原理は
ここに例として掲げたもの以外の代替の構成にもあては
まることが理解されるであろう。この発明が関係するす
べての構成の共通の特徴は、プラズマがチャンバーの外
部からのRFエネルギーの電磁結合によってチャンバー
内に形成され維持されることである。この発明の目的
上、電磁結合という用語はRFエネルギーがチャンバー
内に形成されたプラズマの容積あるいは体積に結合され
ることを意味し、エネルギーがプラズマと一つあるいは
それ以上の電極の間のシース層を介して転送される容量
性結合と異なる。ここに開示したこの発明の実施例で
は、RFエネルギーは誘導によってプラズマに電磁結合
されるが、RFエネルギーのプラズマの容積への直接的
電磁結合を行う他のエネルギー転送メカニズムもあるこ
とが理解されるであろう。たとえば、マイクロ波ECR
(電子サイクロトロン共振)システムもまたエネルギー
をプラズマの容積に電磁結合するものである。 4)高圧および低圧動作と可変間隔 この発明のチャンバーの設計は高圧動作と低圧動作の両
方に有効である。ウエハ支持カソード32Cとアンテナ
の最下部のコイルあるいは巻線の面の間の間隔dは高圧
動作と低圧動作の両方に適応させることができる。たと
えば、500ミリトル−50ミリトルの高圧動作には好
適には約5cmより小さい間隔dが用いられ、0.1ミリト
ル−500ミリトルより小さい範囲での低圧動作には5
cmより大きい間隔dが好適である。チャンバーには図示
するように固定された間隔dを用いることもでき、また
交換可能なあるいは入れ子式の上チャンバー部のような
可変間隔設計を用いることもできる。反応装置システム
10は酸化けい素や窒化けい素といった材料の高圧およ
び低圧蒸着、二酸化けい素、窒化けい素、けい素、ポリ
シリコンおよびアルミニウム等の材料の低圧異方性反応
イオンエッチング、かかる材料の高圧プラズマエッチン
グ、およびウエハの微細構成の平面化を含むかかる材料
の同時蒸着およびエッチバックを含むCVDファセッテ
ィング、等の処理に有効である。反応装置システム10
を用いることのできるこれらの処理およびその他の処理
については、同時譲渡された VHF/UHF PLASMA PROCESS
FOR USE IN FORMING INTEGRATED CIRCUIT STRUCTURES O
N SEMICONDUCTOR WAFARSと題する1990年7月31日付け
Collins その他の米国特許出願07/560,530号
(AMATファイル No.151−2)に説明されてい
る。 15. 装置の例 この発明のシステムの実施例には図1に示すプラズマ源
構成とアンテナ構成が含まれている。高さ5インチの石
英のプラズマ源チャンバー17の直径は12インチであ
る。2MHz 、直径13インチ、高さ4インチ、13巻コ
イルアンテナが両端で(接地された可変コンデンサLと
Tで)グラウンドプレーンから(の下)約0.25インチ
間隔をおいて成端し、プラズマ源を取り囲んでいる。反
応負荷整合が可変コンデンサL(10−3000ピコフ
ァラド可変コンデンサ、定格5kV) によって供給されて
いる。またアンテナの共振への容量性同調が同調コンデ
ンサT(5−100ピコファラド、15kV定格) によっ
て提供されている。2キロワット2MHz のソースRFエ
ネルギーを用いた動作を行うと、2インチ下流(プラズ
マ源の下)のウエハに伸長するプラズマが提供される。
これが1−2X 1012/cm3 のプラズマ密度とウエ
ハの下流で10−15mA/cm2 のイオン飽和電流密度を
提供する。アンテナの約2インチ下(下流)の支持電極
に配置された5インチのウエハに印加される2MHz 、6
00ワットの下部あるいはバイアスは200ボルトのカ
ソードシース電圧を提供する。
【0073】
【発明の効果】上述したように、この発明を実施した上
述の反応装置は反応イオンエッチング、高圧プラズマエ
ッチング、スパッタファセット蒸着および平面化を含む
低圧化学蒸着(CVD)および高圧コンフォーマル等方
性CVD等のさまざまなプラズマ加工に独自の効果を提
供する。アプリケーションにはスパッタエッチング、イ
オンビームエッチング、あるいは電子としてはイオンあ
るいは活性中性プラズマ源があるがそれらに限定される
ものではない。
【0074】当業者にはこの発明はドームの使用に限定
されるものではないことは明白であろう。むしろ、この
発明はプラズマ源領域と加工領域を有するほとんどあら
ゆる構成に適用しうるものである。これには、たとえば
図示するような“階段状の”ドーム型チャンバー構成
や、プラズマ源領域と加工領域あるいはチャンバー部分
がほぼ同じ断面を有する非階段状の構成がある。
【図面の簡単な説明】
【図1】この発明のプラズマ反応装置チャンバーの概略
断面図である。
【図2】この発明のプラズマ反応装置チャンバーの概略
断面図である。
【図3】この発明のプラズマ反応装置チャンバーの概略
断面図である。
【図4】発電器のインピーダンスをプラズマ負荷のイン
ピーダンスに整合させる同調回路の概略図である。
【図5】発電器のインピーダンスをプラズマ負荷のイン
ピーダンスに整合させる同調回路の概略図である。
【図6】発電器のインピーダンスをプラズマ負荷のイン
ピーダンスに整合させる同調回路の概略図である。
【図7】発電器のインピーダンスをプラズマ負荷のイン
ピーダンスに整合させる同調回路の概略図である。
【図8】発電器のインピーダンスをプラズマ負荷のイン
ピーダンスに整合させる同調回路の概略図である。
【図9】発電器のインピーダンスをプラズマ負荷のイン
ピーダンスに整合させる同調回路の概略図である。
【図10】けい素と二酸化けい素に対するエッチング速
度がプラズマエッチング処理中の増大する直流バイアス
電圧の増大につれて変化する態様を示すグラフである。
【図11】この発明の一側面による直流バイアス電圧の
波形を示すグラフであり、バイアス電圧は高基線値から
はるかに低い値に周期的にパルス化される。
【図12】この発明の他の側面による直流バイアス電圧
の波形を示すグラフであり、バイアス電圧は第1の周波
数での平均値付近で変動する。バイアス電圧のエクスカ
ーションの振幅は第1の周波数より低い第2の周波数に
したがって変更される。する直流バイアス電圧の増大に
つれて変化する態様を示すグラフである。
【図13】プラズマ密度と均一性を向上させるための磁
石の構造を示すプラズマ加工チャンバーの概略図であ
る。
【図14】(A)−(D)はそれぞれ軸方向磁界を加工
を向上させるために加工中のウエハに対してどのような
形状にするかを示す図である。
【図15】(A)−(B)はそれぞれチャンバー中のプ
ラズマに結合する定常状態静電界を低減するための二つ
の交番するファラデーシールド構造を示す部分図であ
る。
【図16】この発明のプラズマ反応装置のさまざまな構
成要素を制御するための例示のシステムのブロック図で
ある。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/302 C (72)発明者 クレイグ エイ ローデリック アメリカ合衆国 カリフォルニア州 95117 サン ホセ パインヴィュー ドライヴ 776 (72)発明者 ジョン アール トロー アメリカ合衆国 カリフォルニア州 95111 サン ホセ ナイツヘヴン ウ ェイ 162 (72)発明者 チャン ロン ヤン アメリカ合衆国 カリフォルニア州 95032 ロス ガトス リーロイ アベ ニュー 16788 (72)発明者 ジェリー ユーエン クイ ウォン アメリカ合衆国 カリフォルニア州 94539 フリーモント クーガー サー クル 44994 (72)発明者 ジェフリー マークス アメリカ合衆国 カリフォルニア州 95129 サン ホセ シエロ ヴィスタ ウェイ 4730 (72)発明者 ピーター アール ケスウィック アメリカ合衆国 カリフォルニア州 94560 ニューアーク ホアキン ムリ エータ アベニュー 6371エイ (72)発明者 ディヴィッド ダブリュー グルーシェ ル アメリカ合衆国 カリフォルニア州 94022 ロス アルトス ヒルズ ヴィ ア ヴァンターナ 27985 (72)発明者 ジェイ ディー ピンソン ザ セカン ド アメリカ合衆国 カリフォルニア州 95120 サン ホセ クイーンズウッド ウェイ 6879 (72)発明者 石川 哲也 千葉県船橋市古作町3−14−24 (72)発明者 ローレンス チュン ライ レイ アメリカ合衆国 カリフォルニア州 95014 クーパーティノ ロックウッド ドライヴ 10236 (72)発明者 マサト トシマ アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル スワロー ド ライヴ 1614 (56)参考文献 特開 昭63−9120(JP,A) 特開 昭62−291922(JP,A) 特開 平3−79025(JP,A) 特開 昭63−260030(JP,A) 特開 平4−290428(JP,A) 特公 昭55−9464(JP,B2) 特公 昭62−7268(JP,B2)

Claims (7)

    (57)【特許請求の範囲】
  1. 【請求項1】 a)その内部にプラズマを発生する真空
    チャンバ、 b)前記チャンバ内で処理されるワークピースを支持す
    るためのワークピース支持部材、 c)前記チャンバにプラズマ先行プロセスガスを供給す
    るためにチャンバ内に取り付けられたガス導入口、 d)第1および第2のRF電力信号を供給するためのR
    F電源、 e)前記第1のRF電力信号からのRFエネルギを前記
    チャンバに結合して、前記プロセスガスから高密度のプ
    ラズマを形成するように前記チャンバの頂部の周りに取
    り付けられるコイルアンテナ、 f)カソード電極に向かってプラズマからの荷電粒子を
    引き付ける、ワークピース支持部材の下または一部にあ
    るカソード電極、及び g)前記カソード電極の上方に配置され、前記プロセス
    ガスの成分と化学的に作用するシリコンを含む電極、 を有する、ワークピースを処理するRFプラズマ処理装
    置。
  2. 【請求項2】 前記シリコンを含む電極が、その反応性
    を高めるように制御可能に加熱されることを特徴とする
    請求項1に記載のRFプラズマ処理装置。
  3. 【請求項3】 前記シリコンを含む電極が、プラズマと
    接触することを特徴とする請求項1に記載のRFプラズ
    マ処理装置。
  4. 【請求項4】 前記シリコンを含む電極が、プラズマを
    介して前記RF電源に結合されることを特徴とする請求
    項1に記載のRFプラズマ処理装置。
  5. 【請求項5】 前記コイルアンテナが、基板の表面に並
    列に配置されることを特徴とする請求項1に記載のRF
    プラズマ処理装置。
  6. 【請求項6】 カソード電極に向かってプラズマからの
    荷電粒子を引き付ける電界を生成するように、前記第2
    のRF電力信号が前記カソード電極に結合されることを
    特徴とする請求項1に記載のRFプラズマ処理装置。
  7. 【請求項7】 前記真空チャンバが頂部を有し、その頂
    部が、前記支持部材の上方の領域を囲み、前記コイルア
    ンテナが前記RFエネルギを前記チャンバに結合する制
    御可能に加熱される誘電壁を有することを特徴とする請
    求項1に記載のRFプラズマ処理装置。
JP4169619A 1991-06-27 1992-06-26 Rfプラズマ処理装置 Expired - Fee Related JP2635267B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US72234091A 1991-06-27 1991-06-27
US82485692A 1992-01-24 1992-01-24
US07/824856 1992-01-24
US07/722340 1992-01-24

Publications (2)

Publication Number Publication Date
JPH05206072A JPH05206072A (ja) 1993-08-13
JP2635267B2 true JP2635267B2 (ja) 1997-07-30

Family

ID=27110578

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4169619A Expired - Fee Related JP2635267B2 (ja) 1991-06-27 1992-06-26 Rfプラズマ処理装置

Country Status (3)

Country Link
EP (1) EP0520519A1 (ja)
JP (1) JP2635267B2 (ja)
KR (1) KR100255703B1 (ja)

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
TW280083B (ja) * 1993-03-04 1996-07-01 Tokyo Electron Co Ltd
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
DE4337309A1 (de) * 1993-08-26 1995-03-02 Leybold Ag Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5431799A (en) * 1993-10-29 1995-07-11 Applied Materials, Inc. Collimation hardware with RF bias rings to enhance sputter and/or substrate cavity ion generation efficiency
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US5783492A (en) * 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
EP0685873B1 (en) * 1994-06-02 1998-12-16 Applied Materials, Inc. Inductively coupled plasma reactor with an electrode for enhancing plasma ignition
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
DE69510427T2 (de) 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
JPH08225947A (ja) * 1994-12-16 1996-09-03 Canon Inc プラズマ処理方法及びプラズマ処理装置
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6902683B1 (en) 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JPH1081973A (ja) * 1996-03-18 1998-03-31 Hyundai Electron Ind Co Ltd 誘導結合形プラズマcvd装置
KR100489918B1 (ko) 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6353206B1 (en) 1996-05-30 2002-03-05 Applied Materials, Inc. Plasma system with a balanced source
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) * 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6369349B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc. Plasma reactor with coil antenna of interleaved conductors
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6165375A (en) 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
US6232233B1 (en) * 1997-09-30 2001-05-15 Siemens Aktiengesellschaft Methods for performing planarization and recess etches and apparatus therefor
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
KR20010034810A (ko) * 1998-04-21 2001-04-25 조셉 제이. 스위니 가변 플라즈마 전력을 사용하여 고종횡비를 갖는 갭의프로파일을 변형시키는 방법 및 장치
US6200911B1 (en) 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6297147B1 (en) 1998-06-05 2001-10-02 Applied Materials, Inc. Plasma treatment for ex-situ contact fill
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US7053002B2 (en) 1998-12-04 2006-05-30 Applied Materials, Inc Plasma preclean with argon, helium, and hydrogen gases
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
DE19957169A1 (de) * 1999-11-27 2001-06-13 Bosch Gmbh Robert Plasmaätzverfahren mit gepulster Substratelektrodenleistung
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP2002093598A (ja) 2000-07-11 2002-03-29 Daihen Corp プラズマ発生装置
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
GB0100958D0 (en) * 2001-01-13 2001-02-28 Surface Technology Systems Ltd Plasma processing apparatus
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
TW478099B (en) 2000-11-03 2002-03-01 Applied Materials Inc Shallow trench isolation manufacture method
JP2002237486A (ja) 2001-02-08 2002-08-23 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
KR100451034B1 (ko) * 2002-08-27 2004-10-02 주식회사 테라텍 반도체 소자 제조방법
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
KR100808862B1 (ko) 2006-07-24 2008-03-03 삼성전자주식회사 기판처리장치
KR100855875B1 (ko) * 2006-12-22 2008-09-03 세메스 주식회사 가스 분사 노즐과, 이를 이용한 기판 처리 장치 및 방법
KR101283645B1 (ko) * 2007-01-25 2013-07-09 최대규 내장 무선 주파수 안테나를 구비한 유도 결합 플라즈마반응기
US20100330805A1 (en) * 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
EP2251671B1 (en) 2009-05-13 2017-04-26 SiO2 Medical Products, Inc. Outgassing method for inspecting a coated surface
US8540851B2 (en) * 2009-02-19 2013-09-24 Fujifilm Corporation Physical vapor deposition with impedance matching network
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
KR20130093080A (ko) * 2010-06-25 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 이온 전류가 감소된 예비-세정 챔버
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US8988848B2 (en) * 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
CN104854257B (zh) 2012-11-01 2018-04-13 Sio2医药产品公司 涂层检查方法
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
EP2925903B1 (en) 2012-11-30 2022-04-13 Si02 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
KR102167557B1 (ko) 2013-03-11 2020-10-20 에스아이오2 메디컬 프로덕츠, 인크. 코팅된 패키징
US20160017490A1 (en) 2013-03-15 2016-01-21 Sio2 Medical Products, Inc. Coating method
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
KR101938306B1 (ko) * 2016-04-18 2019-01-14 최상준 건식 에칭장치의 제어방법
CN107369604B (zh) * 2016-05-12 2019-10-11 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
JP6529996B2 (ja) * 2017-02-06 2019-06-12 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
EP3785494A4 (en) 2018-06-14 2022-01-26 MKS Instruments, Inc. REMOTE PLASMA SOURCE RADICAL OUTPUT MONITOR AND METHOD OF USE
US20190385828A1 (en) * 2018-06-19 2019-12-19 Lam Research Corporation Temperature control systems and methods for removing metal oxide films
KR20210018761A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법
CN113130285B (zh) * 2019-12-31 2022-04-15 江苏鲁汶仪器有限公司 一种陶瓷进气接射频清洗装置
CN111477539A (zh) * 2020-05-14 2020-07-31 西安奕斯伟硅片技术有限公司 硅片处理方法及装置
CN114879458A (zh) * 2022-05-31 2022-08-09 上海稷以科技有限公司 一种改善谐振腔牺牲层释放效率的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS559464A (en) * 1978-07-07 1980-01-23 Toshiba Corp Production method of bipolar integrated circuit containing i2 l
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
JPS627268A (ja) * 1985-07-03 1987-01-14 Nec Corp フアクシミリ装置
JPS62291922A (ja) * 1986-06-12 1987-12-18 Canon Inc プラズマ処理装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma

Also Published As

Publication number Publication date
KR930001351A (ko) 1993-01-16
EP0520519A1 (en) 1992-12-30
KR100255703B1 (ko) 2000-05-01
JPH05206072A (ja) 1993-08-13

Similar Documents

Publication Publication Date Title
JP2635267B2 (ja) Rfプラズマ処理装置
US5556501A (en) Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) Process used in an RF coupled plasma reactor
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US6545420B1 (en) Plasma reactor using inductive RF coupling, and processes
US6251792B1 (en) Plasma etch processes
US6518195B1 (en) Plasma reactor using inductive RF coupling, and processes
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
US6488807B1 (en) Magnetic confinement in a plasma reactor having an RF bias electrode
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) Processes used in an inductively coupled plasma reactor
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US5707486A (en) Plasma reactor using UHF/VHF and RF triode source, and process
US7513214B2 (en) Plasma processing method and apparatus
JP3905502B2 (ja) 誘導結合プラズマ発生装置
KR101011580B1 (ko) 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스
US6653791B1 (en) Method and apparatus for producing uniform process rates
US6744213B2 (en) Antenna for producing uniform process rates
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
US20060124059A1 (en) Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution
JP2543642B2 (ja) 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
JP3236216B2 (ja) 半導体ウェーハ製造用プラズマ処理装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19970303

LAPS Cancellation because of no payment of annual fees