JP2543642B2 - 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法 - Google Patents

高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法

Info

Publication number
JP2543642B2
JP2543642B2 JP4005826A JP582692A JP2543642B2 JP 2543642 B2 JP2543642 B2 JP 2543642B2 JP 4005826 A JP4005826 A JP 4005826A JP 582692 A JP582692 A JP 582692A JP 2543642 B2 JP2543642 B2 JP 2543642B2
Authority
JP
Japan
Prior art keywords
plasma
electrode
electrical energy
chamber
high frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP4005826A
Other languages
English (en)
Other versions
JPH04346829A (ja
Inventor
エス コリンズ ケニス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24583047&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2543642(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH04346829A publication Critical patent/JPH04346829A/ja
Application granted granted Critical
Publication of JP2543642B2 publication Critical patent/JP2543642B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/16Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields
    • H05H1/18Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields wherein the fields oscillate at very high frequency, e.g. in the microwave range, e.g. using cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

【発明の詳細な説明】
【0001】関連する出願に関する相互参照 この出願は、発明者コリンズ等(Collins et al.)の名
義で1990年7月31日に出願され(AMAファイル
No. 151−1)、通常譲渡された「UHF/VHF反
応装置システム」という名称の、同時係属中の米国特許
出願第559,947号の一部継続出願として、発明者コ
リンズの名義で1990年12月3日に出願され(AM
AファイルNo. 252)、通常譲渡された「UHF/V
HF共振アンテナソースを使用するプラズマ反応装置
と、方法プロセス」という名称の、同時係属中の米国特
許出願第624,740号の一部継続出願として、発明者
コリンズ(Collins )の名義で1990年12月7日に
出願され(AMATファイルNo. 252)、通常譲渡さ
れた「UHF/VHF共振アンテナソースを使用するプ
ラズマ反応装置と、プロセス」という名称の、同時係属
中の米国特許出願第626,050号の一部継続出願であ
る。
【0002】
【産業上の利用分野】本発明は、RFプラズマ反応装
置、特に、HF(高周波)とLF(低周波)エネルギー
源、並びに高周波交流電気エネルギーと相対的に低い周
波数の交流電気エネルギーをプラズマに結合する関連電
極を有するプラズマ反応装置を用いて、半導体基板を含
む工作物を処理するシステムおよび方法に関する。
【0003】
【従来技術】増々密度の高くなる集積幾何形状へと向か
う傾向のために、エネルギーの粒子衝撃(ボンバード)
すなわち放射による約200−300ボルトといった小
さいウェーハシース電圧を受けたとき損傷を受けやすい
電気的に感応性の高いきわめて小さな幾何形状のコンポ
ーネント及びデバイスが生み出される結果となってい
る。残念なことに、このような電圧は、回路のコンポー
ネントが標準的な集積回路製造プロセス中に受ける電圧
に比べ規模の小さいものである。
【0004】高度なデバイスのために製造されたMOS
コンデンサ及びトランジスタといった構造は、非常に薄
い(厚み200オングストローム未満)ゲート酸化物を
有する。これらのデバイスはチャージアップによって損
傷を受ける可能性があり、その結果ゲートは破損する。
これは、表面電荷の中和が、プラズマ電位又は密度の不
均一性又は大きいRF変位(電束)電流のために起らな
かった場合に、プラズマプロセスにおいて発生する可能
性がある。相互接続ラインといった導線も同様に損傷を
受ける可能性がある。
【0005】RFシステム CVD(化学蒸着)及びRIE(反応性イオンエッチン
グ)リアクタシステムといった第1の先行技術に基づく
半導体処理システムを考えてみよう。これらのシステム
は約10〜500KHz といった低い周波数から約13.
56〜40.68MHz といったさらに高い周波数に至る
までの高周波エネルギを使用することができる。約1M
Hz 以下では、イオン及び電子は振動する電界およびプ
ラズマ内に発達した何らかの定常状態電界によって加速
化される可能性がある。このような比較的低い周波数で
は、ウェーハにおいて生成される電極シース電圧は標準
的に最高1キロボルト以上のピークであり、これは20
0〜300ボルトの損傷閾値に比べはるかに高い。数M
Hz 以上では、電子はなお変化する電界に追従すること
ができる。さらに質量の大きなイオンは変化する電界に
ついていけず、定常状態電界により加速化される。この
周波数範囲内(そして実際的ガス圧及び電力レベル)で
は、定常状態シース電圧は数百ボルトから1000ボル
ト以上の範囲内にある。
【0006】磁場−エンハンスメント(強化) RFシステムにおいてバイアス電圧を減少させるための
好ましい方法には、プラズマに磁場を適用することが関
与している。このBの磁場は電子をウェーハの表面近く
の領域に封じ込め、イオン磁束密度及びイオン電流を増
大させ、かくして電圧及びイオンエネルギ必要条件を低
減させる。比較をすると、二酸化ケイ素をエッチングす
るための非磁気的RIEプロセスの例では、13.56M
Hz で適用されるRFエネルギ、10〜15リットル体
積の非対称システム、50ミリトールの圧力及び約(8
−10)対1の陽極面積対ウェーハ−支持陰極面積比が
用いられ、約800ボルトのウェーハ(陰極)シース電
圧が発達させられる。60ガウスの磁場の適用はバイア
ス電圧を約25〜30パーセント、すなわち800ボル
トから約500〜600ボルトまで減少させる可能性が
あるが、一方エッチ速度は約50パーセントほども増大
する。
【0007】しかしながら、ウェーハに平行にBの定常
場を適用すると、E×Bのイオン/電子ドリフト及び、
ウェーハを直径方向に横断するように向けられた付随す
るプラズマ密度勾配が生ずる。このプラズマ勾配は、ウ
ェーハを横切ってのエッチング、蒸着その他のフィルム
特性を不均一なものにする。この不均一性は、標準的に
は永久磁石の機械的動作によって又は90度位相ずれし
て直角位相に駆動されている電磁コイル対を用いること
によってウェーハのまわりで磁場を回転させることによ
って、或いは制御された速度で磁場をステッピング又は
その他の形で移動させるべくコイル対内の電流を瞬間的
に制御することによって、減少させることができる。し
かし、磁場の回転は不均一性勾配を低減するものの、標
準的には、ある程度の不均一性が残る。
【0008】さらに、コイル特に2つ以上のコイル対を
チャンバのまわりにパッケージし、コンパクトなシステ
ムを達成することは、特に共通のロードロックをとり囲
む個々の磁気強化リアクタチャンバから成る多重チャン
バシステム及び/又はヘルムホルツコイル構成を用いる
場合に、困難なことである。磁界強度及び方向を瞬間的
及び選択的に変える能力をもち、しかもコンパクト多重
チャンバリアクタシステム内で用いるように設計されて
いる唯一のリアクタシステムは、発明者Cheng 他の名で
1989年6月27日に発行された共同譲渡の米国特許
中に開示されている。
【0009】マイクロ波/ECRシステム マイクロ波及びECR(電子サイクロトロン共鳴)シス
テムは、プラズマを励起するため、800MHz 以上、
標準的には2.45GHz の周波数のマイクロ波エネルギ
を用いる。この技術は高密度プラズマを生成するが、粒
子エネルギは低く、二酸化ケイ素の反応性イオンエッチ
ングといった数多くのプロセスのための最小反応閾値の
エネルギーよりも下でありうる。これを補償するため、
エネルギー強化用の低周波電力がウェーハ支持電極に又
ひいてはウェーハを通してプラズマに結合される。従っ
て、ウェーハ損傷の確率は、以前のシステムに比べ減少
する。
【0010】エッチング又はCVDといった半導体ウェ
ーハ処理のための実際的電力レベルで作動させられたマ
イクロ波及びECRマイクロ波システムは、送電のため
に大きな導波管を、又高価なチューナ(同調器)、方向
性結合器、サーキュレータ及び擬似負荷を作動のために
必要とする。さらに、市販の2.45GHz で作動するE
CRマイクロ波システムのためのECR条件を満たすた
めには、875ガウスの磁場が必要とされ、大きな電磁
石、大きな電力及び冷却必要条件が必要になる。
【0011】マイクロ波及びECRマイクロ波システム
は、容易にスケーリングできない。2.45ガウスに対す
るハードウェアが利用可能である。これはこの周波数が
電子レンジに用いられているものだからである。915
MHz のシステムも同様に利用可能であるが、コストは
さらに高くなる。その他の周波数については、ハードウ
ェアは容易に又は経済的に入手できない。従って、より
大きな半導体ウェーハに対応するためマイクロ波システ
ムを5〜6インチスケールアップするためには、さらに
高いオペレーションモードを使用することが必要であ
る。より高いモードで作動させることによる固定周波数
でのこのスケーリングには、より高位又はより低位の負
荷へのいわゆるモードフリッピングを避けるために非常
に厳密なプロセス制御が必要である。代替的には、スケ
ーリングは、例えば5〜6インチのマイクロ波キャビテ
ィについて、プロセス流束をより広い面積に広げるよう
発散する磁場を用いることによって、達成することがで
きる。しかしながら、この方法は、有効電力密度ひいて
はプラズマ密度を減少する。
【0012】
【発明の概要】一面において、上記基準及びその他の基
準を満たす本願発明は、真空処理チャンバ(以下、チャ
ンバと言う)を画定するエンクロージャー(または、ハ
ウジング)の中で、プロセス・ガスを該チャンバに導入
して該ガスからプラズマを生成することにより工作物を
処理するシステムにおいて具体化されるものであり、こ
のシステムは、該ガス中にプラズマを生成するために該
チャンバに交流電気エネルギーを結合させる電極手段
と、該プラズマの密度とプラズマ・イオン密度とを制御
するために該電極手段に高周波(VHF/UHF)交流
電気エネルギーを加える手段とから成る。
【0013】また、本願発明は、該電極が、該電極と関
連するシース電圧とプラズマ・イオン・エネルギーとを
制御するために相対的に低い周波数の交流電気エネルギ
ーを該電極手段に加える様になっている実施例を包含す
る。好ましくは、該高周波交流電気エネルギーの周波数
は約50MHz 〜約800MHz の範囲内にあり、前記
の相対的に低い周波数の交流電気エネルギーのそれは約
0.1MHz 〜約50MHz の範囲内にある。
【0014】他の面においては、該電極は、プラズマ生
成の交流電気エネルギーを該チャンバに加えるための2
つの電極構造から成り、高周波交流電気エネルギーを加
えるための手段は2つの電極構造の中の一方に接続さ
れ、相対的に低い周波数の交流電気エネルギーを加える
ための手段は前記2つの電極構造の中の他方に接続され
る。
【0015】更に、他の面においては、該エンクロージ
ャーは、その一面に誘電性の窓を包含し;該電極は、該
チャンバ内にウェーハ支持電極を有すると共に板電極を
該誘電性の窓上に有し;相対的に低い周波数の交流電気
エネルギーを加えるための手段は該ウェーハ支持電極に
接続され;高周波交流電気エネルギーを加えるための手
段は該チャンバのエンクロージャーに接続され;該板電
極はシステムのグランドにあり;交流電気エネルギーを
加えるための前記の二つの手段は、共に、グランドとし
ての該板電極を基準としていて、該電極が該チャンバ内
で該ウェーハ支持電極と該板電極との間に電場を生じさ
せるようになっている。
【0016】別の実施例では、該電極は、該チャンバ内
に配置されて工作物を支持する様になっている単一の電
極構造であり、交流電気エネルギーを該電極に加えるた
めの手段は共に前記の単一の電極構造に接続される。他
の実施例では、該エンクロージャーは、その一面に誘電
性の窓を有し;該電極は、該チャンバ内にウェーハ支持
電極を有すると共に該誘電性の窓上に板電極を有し;高
周波交流電気エネルギーを加えるための手段は該板電極
に接続され;相対的に低い周波数の交流エネルギーを加
えるための手段は該ウェーハ支持電極に接続され;交流
エネルギーを加えるための手段は共にグランドとしての
チャンバのエンクロージャーを基準としていて、該電極
は該チャンバ内で該ウェーハ支持電極と該板電極との間
に電場を生じさせるようになっている。
【0017】現在好適な実施例では、該電極は、該チャ
ンバ内に配置されて工作物を支持するようになっている
電極構造と、該チャンバの周囲を囲む電極構造とを包含
し;高周波交流電気エネルギーを加えるための手段は、
その電極構造に接続され;相対的に低い周波数の交流電
気エネルギーを加えるための手段は該ウェーハ支持電極
に接続される。好ましくは、前記ハウジングは、内部に
該チャンバのセクションを画定する誘電性のドームを包
含し;周辺部の前記電極構造は、該ドーム内に画定され
た前記チャンバのセクションを囲み;周辺部の前記電極
構造と前記ウェーハ支持電極とは、該チャンバ内に該ウ
ェーハ支持電極に平行な電場を生じさせるように配置さ
れており;前記の電極構造は、別々のセクションから成
ると共に、高周波交流電気エネルギーを加えるための前
記手段と協働して、該電極構造のための、差動的に駆動
される、接地されていない、平衡型駆動構成を提供す
る。
【0018】別の実施例では、本願発明によるエンクロ
ージャーは、選択された周波数の交流電気エネルギーを
外部ソースからプラズマ・チャンバへ加えるようになっ
ている一体式伝送線構造を包含しており、ウェーハ支持
電極と;該ウェーハ支持電極を囲む外側導体と;該ウェ
ーハ支持電極及び該外側導体の間の絶縁体とから成り、
該伝送線構造に加えられた交流電気エネルギーが該ウェ
ーハ支持電極に沿って結合されてカソード・シース電圧
を制御するようになっている。また、整合回路網を介し
て高周波交流電気エネルギーを該電極に結合させること
が出来る。また、帯電したイオン又は電子の流れをプラ
ズマから抽出するためにバイアスされるグリッドを設け
ることが出来ると共に、励起ニュートラル及び遊離基の
流れを抽出するために該抽出グリッドから離間させて中
和グリッドを配置することが出来る。
【0019】他の、好適な面は、交流電気エネルギーの
自由空間への放射を防止するための、該電極を囲んで配
置された反射体を包含する。ウェーハに対して下流側の
プラズマの位置と輸送とを制御するために、均一構成、
発散構成及び磁気ミラー構成から選択された、周辺部の
永久磁石又は電磁石の装置によって磁気強化を行うこと
が出来るが、この装置は、周囲電極の電場の平面に対し
て垂直な制御される静磁場を加えるものである。
【0020】また、ウェーハを横切る磁場を実質的に無
くしながらプラズマをウェーハ領域に限定するために該
チャンバ内のウェーハ付近に多極カスプ磁場を加えるた
めに該チャンバの周囲に磁石を装置することが出来る。
また、磁場をウェーハ支持電極から転換させるために磁
気分路をウェーハとウェーハ支持電極との周囲に配置す
ることが出来る。
【0021】このシステムの構成では、動作の周波数を
選択することによって、そのサイズをスケーリングする
ことが出来る。他の面では、本願発明は、中にプラズマ
を生成するチャンバを画定する誘電性のドームを包含す
るエンクロージャーと;半導体ウェーハを支持するため
の、該チャンバ内の電極と;反応ガスを該チャンバに供
給するための該エンクロージャー内のガス入口マニフォ
ールドと;該チャンバと連通して、その中に真空を維持
する真空ポンプ手段と;該ドームを囲んで、制御された
電力の高周波交流電気エネルギーを該チャンバに容量的
に結合させて、その中に制御された密度と制御されたイ
オン束密度とのプラズマを生成するためのスプリット電
極からなる高周波交流電気エネルギー源と、から成るプ
ラズマ処理反応装置の構成と操作において具体化され
る。
【0022】上記したように、このシステムは、好まし
くは、制御された電力の低周波交流電気エネルギーを該
チャンバに結合させてウェーハ支持体におけるシース電
圧を制御するエネルギー源を含む、種々の好適な、代わ
りの特徴を取り入れることが出来る。バイアス周波数
は、電圧を制御するために選択され;バイアス・電力
は、シース電圧とイオン・エネルギーとを制御するため
に選択/変化される。
【0023】他の、プロセスの面では、本願発明は、好
ましくはエンクロージャーの誘電性のドーム部分を囲む
スプリット電極を介して、高周波交流電気エネルギーを
ハウジングの中の真空処理チャンバ中に結合させて該チ
ャンバ内にプラズマを生成して、材料のエッチング、材
料の蒸着、材料の同時エッチング及び蒸着、及び/又は
材料の順次のエッチング及び蒸着、から選択された材料
の製造を行うプロセスにおいて具体化される。別の態様
では、高周波電力(電力)、該エンクロージャー内の誘
電性の窓上に形成された板電極を介して加えられる。該
プロセスは、プラズマ密度とイオン束密度とを制御する
ために高周波電力を制御することを含む。好ましくは、
製造中の物体は電極上に支持され、プラズマ密度及びイ
オン束密度に関して関連のシース電圧及びイオン・エネ
ルギーを個別に制御するために相対的に低い周波の交流
電気エネルギーが該電極に加えられる。
【0024】特別のプロセスは、ポリシリコン(多結晶
シリコン)上に形成された酸化物に接点穴をエッチング
すると共にアルミニウム上に形成された酸化物に道穴を
エッチングすること;酸化ケイ素及びポリシリコンに所
謂「軽」エッチングを行うこと;高速等方性及び異方性
酸化物エッチングを行うこと;ゲートなどのポリシリコ
ン導体をエッチングすること;フォトレジストはぎ取
り;単結晶シリコンの異方性エッチング;異方性フォト
レジスト・エッチング;窒化物及びオキシニトリドの低
圧プラズマ蒸着;酸化物、オキシニトリド及び窒化物の
高圧等方性コンフォーマル蒸着;アルミニウム及びチタ
ン、及びその化合物及び合金;並びに、局所的及び全体
的な、平坦化を伴うスパッタ・ファセット蒸着を行うこ
とを包含する酸化物エッチングを含むが、これに限定さ
れるものではない。
【0025】本発明の上記の特徴及びその他の特徴につ
いて、図面を参照して説明をする。
【0026】
【実施例】1.システムの全体 図1は、複数(2以上)の電極プラズマ・ソース構成、
磁気強化プラズマ・ソース構成及びその他の特徴を使用
する本願発明のプラズマ反応装置チャンバシステム10
の略断面図である。この模範的なチャンバは、他の特許
出願(米国特許出願第559,974号)に示されてい
るものの変形であり、これは一体型の伝送線構造を包含
する。本願発明の顕著な特徴は、プラズマ反応装置チャ
ンバに対して一般的に適用可能である。更に、当業者
は、以下の記述から、反応装置システムの性能を協働的
に向上させる本発明の種々の特徴を別々に使用すること
が出来、或いは当該システムから選択的に省略すること
が出来るということを理解するであろう。例えば、その
複数(2以上)の電極プラズマ・ソース構成から得られ
るプロセス条件は、しばしば、磁気強化の必要を無くす
る。
【0027】模範的システム10は、陽極酸化されたア
ルミニウム又はその他の適当な材料から形成された、側
壁12、上壁13及び底壁14を有する、真空チャンバ
のエンクロージャー(囲い)又はハウジング(以下、エ
ンクロージャーと言う)11を包含する。陽極酸化され
たアルミニウムは、アーク放電及びスパッタリングを抑
制するので、好都合である。しかし、裸のアルミニウム
及びプロセスと両立するポリマー又は石英又はセラミッ
クのライナーなどの他の材料を使用することが出来る。
該チャンバを、プロセス実行のために加熱又は冷却する
ことが出来る。上壁又は天井13は、壁12−12間に
画定された下側チャンバ・セクション16Aと、誘電性
のドーム17により画定された上側チャンバ・セクショ
ン16Bとの間に中央開口部15を有する。このドーム
は、好ましくは石英であるが、アルミナ及びアルファ−
アルミナ(サファイア)を含む数種の誘電性材料から作
ることの出来るものである。該ドームは、プロセス実行
のために必要に応じて加熱又は冷却される。該ドームを
直接熱するために流体又は気体の熱伝達媒体を使用出
来、或いは加熱素子を使用することが出来る。真空密エ
ンクロージャーを維持するためにOリングなどの種々の
真空シール18が種々の接合面間に挿入される。エンク
ロージャー11の内部(チャンバ16)は、真空ポンプ
・システム(図示せず)に結合する真空管路21中の絞
り弁19(これは、流量とは独立に圧力を調整する)を
介して排気される。
【0028】コンピューター制御の流量コントローラ
(図示せず)を介して典型的には1種類以上の加圧ガス
源から、22で略指示されている様に反応ガスがエンク
ロージャー11に供給されて、上壁13の内側に取りつ
けられ又は上壁13と一体に取りつけられたリング・ガ
ス・マニフォールド23を通して内側の真空処理チャン
バ16に入る。マニフォールド23は、好ましくは、高
周波交流電気エネルギーの適用時にエッチング及び/又
は蒸着プラズマを生じさせるためにエッチング・ガス及
び/又は蒸着ガスを僅かな上向き角度でチャンバ(チャ
ンバ・セクション16B及び16A)に供給する。該マ
ニフォールドを通す代わりに、又は、該マニフォールド
を通すと共に、該チャンバを介してガスを直接導入する
ことが出来る。例えば、不活性ガス又はその他のガスを
マニフォールド23に導入し、他の反応ガスを下のチャ
ンバ内の下側マニフォールド又はガス入口(図示せず)
を介して導入することが望ましい。
【0029】好ましくは50〜800MHz の周波数の
VHF/UHFエネルギーなどの高周波(HF)交流電
気エネルギーが、1対のほぼ半円形のバンド電極26−
26から成る実質的に閉じたスプリット電極構造25に
よって加えられるが、これは、ドーム17を囲んでい
て、高周波(HF)電源27によって給電され、誘電性
ドーム17を介して容量性結合によりチャンバ16内で
プラズマを励起させる。これは在来のRFシステム構成
と対照的であり、この場合にはRF電力は、典型的に
は、2個の電極、即ち、その上面でウェーハ5を支持す
るウェーハ支持電極32Cと、側壁12、上壁13及び
/又は反応装置チャンバのマニフォールド23により画
定される第2の電極との間に加えられる。
【0030】好ましくは、差動的に駆動される、平衡型
の、無接地駆動構成を得るために、バンド電極26−2
6に結合された1対の導線30−30を有する伝送線構
造を介して適当な整合回路網28により、高周波交流電
源27は電極25に結合される。このシステムは図9〜
11に示されており、以下の§3でより詳しく説明され
る。
【0031】上側チャンバ・セクション16Bからのガ
ス流は、下方へウェーハ5に向かっており、次にウェー
ハから半径方向外側にポンピングされる。この目的のた
めに、エンクロージャーの側壁12と外側伝送線導体3
20との間で、且つ、エンクロージャーの底壁14と上
側の伝導性ポンピング・スクリーン29との間で、環状
の真空マニフォールド33がカソード伝送線構造32の
周囲に画定されている。マニフォールド・スクリーン2
9は真空マニフォールド33と下側のチャンバ・セクシ
ョン(プラズマ・チャンバ)16Aとの間に挿入され、
側壁12と伝送線構造32の外側導体320との間に伝
導性電気経路を与える。マニフォールド33は、排気ガ
スをウェーハ5の周辺部から半径方向に均一にポンピン
グするための環状ポンピング・チャネルを画定する。排
気マニフォールド33は、底壁14の1個以上の開口部
31を介して排気ガス・システム・ライン21に連通す
る。ガス流全体は、経路22に沿って入口マニフォール
ド23に入り、経路24に沿って上側及び下側チャンバ
・セクションに入り、経路34に沿って上側チャンバ・
セクション16Bからウェーハ5に向かい、経路36に
沿って半径方向外方にウェーハの周辺縁からスクリーン
29を通ってガス出口マニフォールド33に入り、且
つ、経路37に沿って排気マニフォールド33から排気
システム21に伸びる。
【0032】スプリット電極構造25は、ドーム17と
上側のチャンバ・セクション(プラズマ・チャンバ)1
6Bとに隣接して、高周波(HF)交流電気エネルギー
を該チャンバに結合させて、2個のバンド電極間にほぼ
正円柱型の容積内にウェーハ支持電極32C上面とその
上のウェーハ5とに平行に変化する電場を生じさせる。
その変化する電場は、プロセス・ガスにエネルギーを与
えることによりチャンバ16(数字16は、チャンバ1
6A及び16B並びにその中のプラズマを一括して指示
する)内に割合に高密度で低エネルギーのイオンを特徴
とするプラズマを生じさせる。プラズマは、電極25に
より画定される上記の正円柱状容積内に集中して該ドー
ム内に生成され、イオン、電子、遊離基、及び励起中性
粒子を含む活性種は、拡散と、前記の支配的なガス流に
よる容積流とによりウェーハに向かって下流側に移動す
る。また、後記する様にウェーハに向かうイオン及び電
子を抽出するために適切な磁場を使うことが出来る。随
意的に、しかし好ましくは、ウェーハにおけるプラズマ
・シース電圧を選択的に高めることによりウェーハにお
けるイオン・エネルギーを選択的に増大させるために、
ソース42とバイアス整合回路網43とから成るバイア
ス・エネルギー入力装置41は、相対的に低い周波数
(LF)の交流電気エネルギーをウェーハ支持電極32
Cに結合させる。好ましくは、該LFの交流電気エネル
ギーは約0.1MHz 〜約50MHz の範囲内にある。
【0033】本質的に底の開いた箱である反射体44
は、該電極の頂部と側部とを囲むが、底は囲まない。該
反射体は、自由空間中への高周波エネルギーの放射を防
止することにより、プラズマ中での電力の放射と消散と
を濃縮して効率を向上させる。詳しく後述する様に、随
意的に、1個以上の電磁石47−47又は永久磁石がエ
ンクロージャー11に隣接して装置されて、ウェーハ5
の位置におけるプラズマの密度を高めるために静的整形
磁場を与える。
【0034】要するに、本発明は、典型的には50MH
z 〜800MHz (随意のバイアス・エネルギーに比べ
ると高い周波数であるが、一般的にはマイクロ波又はマ
イクロ波−ecrの周波数よりは遙かに低い)の高周波
交流電気エネルギーを使って、潜在的に有害な高周波エ
ネルギーをウェーハ5を通して結合させることなく、高
密度で相対的に低いエネルギーを特徴とするプラズマを
生成するためにチャンバの内部に指向性の、ほぼ水平の
場を生じさせる。図1に示されている好適な下流側プラ
ズマ源構成では、高プラズマ密度で、ウェーハから離れ
た位置でエネルギーが完全に吸収されて、エネルギーが
ウェーハに伝播せず、従って損傷の確率を最小限とする
ことが保証される。選択的に、且つ随意的に、ウェーハ
・シース電圧を高めることによりイオン・エネルギーを
必要に応じて高めるために、必要に応じて相対的に低い
周波数(LF)の補助交流バイアス・エネルギーがウェ
ーハ支持電極32Cに加えられる。(1)HFエネルギ
ー及び(2)LFエネルギーは、(1)プラズマ密度及
びイオン密度、並びに(2)シース電圧及びイオン・エ
ネルギーを独立に制御する。
【0035】システムの周波数は、チャンバ16Bの直
径の、32インチ〜2インチの範囲にわたるスケーリン
グを可能にするために50〜800MHz の範囲内で変
化させることが出来る。特に、記述された範囲内で周波
数を減少させ低モード動作を保つという単純な手段によ
り、モード・フリッピング(mode flipping )と、動作
モード向上に伴うプロセス変化との可能性を防止しつ
つ、電磁モードを変えることなく、半導体産業に有利
な、ますます直径が大きくなるウェーハを収容するため
にシステムのスケールを大きくすることが出来る。
【0036】電極構造25を駆動する電源27の高い周
波数も、マイクロ波又はマイクロ波ECRアプリケーシ
ョンに使われる周波数よりは遙かに低いので、安価な電
源により低直流電流で作動する随意の小型磁石を使うこ
とが出来、それに伴う熱負荷は小さい。また、叙上から
明らかな通り、導波管の代わりに伝送線対を使うことが
出来る。また、加えられる磁場(電極25を介して加え
られるHF場の磁場成分と、磁石47により加えられる
静的軸方向磁場の両方)がカソード32Cにおける電場
に実質的に平行であるので、他の磁気強化型のシステム
又は磁気補助型のシステムにおけるE×B電子ドリフト
に起因するプラズマの不均一性がここでは存在しない。
よって、該システムにはE×Bドリフトは存在しない。
【0037】ウェーハにおいてではなくてソース(上側
のチャンバ・セクション16A)において、B場を許す
ために、高透磁率材料で形成された磁気分路を使用する
ことが出来る。随意的に、エンクロージャーの壁に多尖
点型磁気ミラーを生成するために、典型的には北極−南
極−北極−南極・・・北極−南極という様に交互に磁極
を配した多磁極構成で磁石又は電磁石を下側のチャンバ
・セクション16Aの周囲に配置することが出来る。該
磁石は、例えば、垂直棒磁石、又は、好ましくは、水平
リング磁石である。この様な磁石を使って、ウェーハを
磁場にさらすことなく壁への電子ロスを減少させること
が出来、従ってプラズマ密度を向上させることが出来
る。 2.磁気強化 上述のように、単数又は複数の(好ましくは少なくとも
2つの)永久磁石又は電磁石47−47が、電極25の
電界Eの平面に直交し、且つこの面を通る静的な一般に
軸方向の磁界を構成している。好ましくは、均質、発散
又は磁気鏡という3つの場タイプの1つが用いられる。
【0038】図8(A)を参照すると、ウェーハ5に対
し直交して適用された均質軸方向の均一な磁場51が、
壁に対する電子の動作を制限している。イオンは高周波
場変動に追従することができないことから、イオンは電
子不足に追従し、ウェーハ上のプラズマ内に集中させら
れる。最大の効率を得るためには、この及びその他の静
的磁場を、高周波電磁場との共振に同調させることがで
きる;すなわち、オメガ=2piF=Be/m、ここでBは
磁束密度であり、e及びmはそれぞれ電子の電荷及び質
量である。
【0039】図8(B)では、軸方向に発散する場82
が示されている。磁気モーメントの変換により、磁場の
軸方向勾配は円形並進エネルギーを軸方向並進エネルギ
ーに変換し、電子及びイオンを、より強い場領域からよ
り弱い領域へと駆動する傾向をもつ。発散する磁場を用
いてプラズマ発生領域から電子及びイオンを押し、プラ
ズマをウェーハに集中させることができる。
【0040】図8(C)及び図8(D)を参照すると、
それぞれ膨れ出しているつまり助成する磁場83(図8
(C))及びカスプ形のつまり相対する場84(図8
(D))が示されている。これらのいわゆる「磁気鏡」
場の各々の効果は、軸方向に発散する場の効果と似てい
る:すなわち荷電粒子は、比較的強い場領域(ここでは
端部の)から比較的弱い中央領域に向かって駆動され
る。
【0041】選択的に単数又は複数の磁石を位置づけ単
一の磁石又は連動する磁石により提供される場の強度を
選択し変化させることで、ウェーハにおけるプラズマの
密度を増大させるため制御された形で付随する均一、発
散又は磁気鏡の場が成形される。磁気鏡場の場合、最大
のプラズマ密度強化のために好ましいウェーハ位置は、
最大のプラズマ密度強化を提供するようバルジ又はカス
プにあるか又はこのすぐ近くである。
【0042】プラズマの生成を強化するもののウェーハ
5における磁場を除去するため、電極25の平面に軸方
向磁場を使用することが望ましい場合もある。高い透磁
率をもつ材料(例えば軟鉄のための鋼又はニッケルとい
ったもの)の環状ディスクを磁石及び電極25の平面の
下、ただしウェーハ5の上に介在させることもできる。
オプションとしては、交互の極配置でリング又は棒磁石
を構成することにより、下側のチャンバ・セクション内
で多極封じ込めを用いることができる。 3.スプリット電極の整合回路網28 図1に示されているスプリット電極の実施例を実現する
には、スプリット電極25と発電機27とに与えられる
プラズマ負荷インピーダンスを整合させるために整合回
路網が必要である。また、スプリット電極25を、無接
地、平衡(グランドに対して)型に差動的に駆動するこ
とが望ましい。電極をこの様に駆動すると、プラズマが
最も均一となり、粒子エネルギーが最小となる。
【0043】好適な整合回路網28は、発明者コリンズ
等(Collins et al.)の名義で1990年7月31日に
出願され(AMATファイルNo. 151−1)、通常譲
渡された「UHF/VHF反応装置システム」という名
称の、同時係属中の米国特許出願第559,947号に開
示されている整合回路網の修正された実施例であり、こ
の出願の開示内容を参照により本書の一部とする。図1
に加えて図9及び10を参照すると、現在好適な整合回
路網28は、該整合回路網の入力からグランドに接続さ
れた分路コンデンサC1 と、該整合回路網の入力から該
整合回路網の出力に接続された直列コンデンサC2 とか
ら成るL回路網であり、これは出力伝送線セクション7
0に直接接続する。
【0044】図9及び10に示されている整合回路網構
成は、より一般的にはソース出力抵抗成分が負荷抵抗成
分より大きいときに50オームの典型的ソース出力抵抗
性インピーダンスと1〜50オームの典型的プラズマ1
6抵抗性インピーダンス成分とに適用されるものである
ことに注意するべきである。プラズマ負荷インピーダン
スZ1 の抵抗部分がソースの出力対抗性インピーダンス
を上回るときには、該整合回路網についての入力及び出
力結合は逆転する。
【0045】コンデンサC1 及びC2 は典型的には銅又
は銀メッキされた銅板から形成される固定導体板及び可
動導体板から成る空気コンデンサである。コンデンサC
1 の固定板58は整合回路網28のケース又はエンクロ
ージャー51であり、グランドに接続されている。図1
1も参照すると、板57は、電源27からの入力50に
接続されていて、実時間Zin又は反射された電力に基づ
いて、システム・コントローラ500の制御下でモータ
1 により経路62に沿って移動可能である。この入力
は、板間隔を、従って該コンデンサの容量を周知の方法
で制御するのに使われる。アーク放電を防止するため
に、TeflonTM又はその他の適当な低損失、高絶縁耐力の
材料のシート61がコンデンサ板57及び58の間に挿
入されている。図11に略図示されている様に、コンピ
ューター500(又は別のコンピューター)は、電源2
7の動作を制御すると共に、適切な範囲内の適切な周波
数を選択することにより与えられたプロセスについて所
望の電圧及び電力の組合せを選択するために便利に使わ
れることに注意されたい。
【0046】同様に構成された直列コンデンサC2 は、
TeflonTMなどの絶縁性のアーク放電防止シート59、脚
56から成り、この脚は、入力50に接続されていて、
2の容量を変えるために経路63に沿ってコンデンサ
1 と同様にしてモータM2により移動可能である。固
定された脚55が整合回路網の出力52に接続されてお
り、これは、実例として、下方に伸びる導体ポスト又は
導体53に係合するクリップ54から成る。導体53
は、バラン出力セクション70の一部でもある。図9の
1 に示されている様にインダクタンスを加えるために
ポスト53は延長されている。
【0047】バラン70は、接地マッチ出力を、平衡型
の差動無接地出力に変換する。1:1バランが使われて
いる。中央導体(ポスト)53、外側導体73、シール
ド72及び誘電体74及び75から成る3軸の電気的四
分の一波長出力セクション70を使って、グランド電流
に対して高インピーダンスを与えることによりスプリッ
ト電極25をグランドから絶縁させる。バラン70はス
プリット導体76の、特にその2本の導線77−77を
介して終端しており、これはスプリット電極25の個々
の導体26−26に接続する。
【0048】4.送電線構造32 参考として示した私の米国特許出願明細書第559,94
7号に詳しく述べられているように、適切な同軸/送電
線設計は、マッチングネットワークからウェーハまでの
低特性インピーダンスの短い送電線を介してのフィード
及び送電線に沿っての戻り通路の両方を必要とする。こ
の設計上の必要条件は、陰極32C、同心環状導線32
0及び、陰極32Cを取り囲み陰極を同心環状導線32
0から絶縁し、そうでなければ降伏する可能性のあるプ
ロセスガスを移動させるような非多孔質低損絶縁体32
Iを含む、図1に示されている一体型送電線構造32に
よって満たされる。例えば、テフロンTM又は石英材料
は、高い誘電強度、低い誘電定数及び低い損失を有する
ことから好まれる。この構造の入力側は、以下に説明す
る要領で整合回路網(マッチングネットワーク)43に
接続されている。絶縁された陰極32C及び外側導線3
20は、整合回路網43とプラズマ16の間に別々の電
流通路を提供する。1つの可逆的電流通路41は整合回
路網43から陰極32Cの外周に沿って電極表面にある
プラズマシースまでである。第2の可逆通路42は、プ
ラズマからエンクロージャーの側壁12の上部内側セク
ションに沿って、次に導電性排気マニホルドスクリーン
29に沿って外部導線320の内側を介し整合回路網に
至るまでである。排気マニホルドスクリーン29は、均
等な半径方向ガスポンプ送りシステムの一部であり、又
RF電流のための戻り通路であることに留意されたい。
【0049】交流電気エネルギーの適用中、RF電流通
路は、示されている方向と逆方向の間で交替する。送電
線構造32が同軸ケーブルタイプの構成のものであるた
め、又さらに限定的に言うと、陰極32Cのより高い内
部インピーダンス(その外側との関係における)及び導
線320の外部表面に向かってのより高いインピーダン
ス(その内部表面との関係における)のため、RF電流
は、陰極32Cの外部表面及び外部導線320の内部表
面へと同軸送電線の要領で強制される。表皮効果がRF
電流を送電線の表面近くに集中させ、電流通路の有効断
面積を減少させる。例えば、直径4−8インチのウェー
ハといった大きなウェーハ及びそれに比例して大きい直
径の陰極32C及び大きい直径の外部導線320の使用
は、送電線構造に沿って大きな有効断面積、低インピー
ダンスの電流通路を提供する。
【0050】同様に、同軸タイプの送電線構造32がそ
の特徴的インピーダンスZ0 に等しい純粋抵抗で終結さ
れたならば、整合回路網はそのとき送電線の長さの如何
にかかわらず一定のインピーダンスZ0 を見ることにな
ろう。しかしながらここでは、プラズマは一定範囲の圧
力及び電力にわたり作動しており、しかもプラズマが送
電線32の端部に示す負荷インピーダンスZ1 を集合的
に変化させるような異なるガスを含んでいることから、
これに該当しない。負荷Z1 は、理想的でない(すなわ
ち無損でない)送電線32から不整合されているため、
送電線上に存在する定在波は、送電線と整合回路網43
の間の抵抗、誘電などの損失を増大させることになる。
整合回路網を用いてあらゆる定在波及びそれに続く整合
回路網の入力端から増幅器又は電源30への損失を無く
することも可能であるが、整合回路網43、送電線フィ
ード32及びチャンバ内のプラズマは、送電線32と整
合回路網43間の抵抗、誘電などの損失を増大させる共
振システムを構成する。要するに、負荷インピーダンス
1 は損失と不整合させられることになるが、損失はZ
1 〜Z0 のとき最小である。
【0051】負荷不整合による損失を低減するために
は、同軸タイプの送電線構造32は、プラズマ作業に付
随する負荷インピーダンスの範囲に最もうまく適合され
た特徴的インピーダンスZ0 を有するように設計されて
ている。標準的に言って、上述の運転パラメータ(例え
ば:ウェーハ支持電極又は約5〜50MHz のバイアス
周波数範囲)及び対象材料の場合、プラズマによって送
電線に提示される直列等価RC負荷インピーダンスZ1
は、1オームから30オームまでのだいたいの範囲内の
抵抗及び50ピコファラドから恐らくは400ピコファ
ラドまでのおおよその範囲内のキャパシタンスを含むこ
とになる。従って、最適なものとして、負荷インピーダ
ンス範囲すなわち約10オームから50オームの範囲内
に集中するような送電線の特徴的インピーダンスZ0
選択される。
【0052】整合回路網が見るプラズマインピーダンス
の変成を避けるためには、送電線32が非常に短かいも
のであることが必要である。好ましくは、送電線は、1
/4波長すなわちラムダ/4よりはるかに小さく、さら
に好ましくは約(0.05〜0.1)ラムダである。さらに
一般的に言うと、負荷に対し1/4波長よりはるかに小
さい距離のところに整合回路網を位置づけすることが不
可能である場合、半波長の整数倍数n:1、2、3等々
(ラムダ/2;ラムダ;3/2ラムダ等々)に等しい送
電線の長さを用いることによりインピーダンス変成に付
随する半波長の同期性を利用する。さらに厳密に言う
と、好ましい値は、ラムダ/2から(ラムダ/2+0.0
5ラムダ);ラムダから(ラムダ+0.05ラムダ);3
/2ラムダから(3/2ラムダ+0.05ラムダ);等々
である。このような条件の下では、1/4波セクション
(又はnを奇数としてnラムダ/4)がZin=Z0 2
1となるようにZ1 を変成し(ここでZ1 は標準的に
小さい)かくして非常に大きなZ1Nを生成することか
ら、整合回路網は、1/4波長の奇数整数(1/4ラム
ダ、3/4ラムダ、5/4ラムダ)のところに位置づけ
されてはならない。このときこの整合回路網はプラズマ
負荷と整合できず、受諾できないシステム共振及びワッ
ト損無しにプラズマに電力を結合することは非常にむず
かしくなる。
【0053】同様に、電力を効果的に結合するために
は、戻り導線320の内径(断面直径)は中心導線32
Cの外径(断面寸法)よりもはるかに大きいものであっ
てはならない。要するに、チャンバは、整合回路網43
からプラズマへ電力を結合する送電線構造を任意である
が、好ましくは内含するこの送電線構造は(1)好まし
くは、問題の周波数における1/4波長に比べ非常に短
かいか、或いは又代替的には半波長の整数倍にほぼ等し
く、かくしてプラズマインピーダンスの望ましくない変
成を防いでいる;(2)プラズマと整合回路網の間のラ
イン上の定在波の存在による損失を抑制するよう選択さ
れた特性Z0 を有する;そして(3)中心導線のものよ
りもかなり大きい外部導線通路断面寸法を用いている。
【0054】5.制御システム ここで、以下の説明は、図5に示されている制御システ
ムを参照しながら用いられる: Psp: 電力設定点 Pf : 順方向電力 電源及びその内部に位置づけされ
た方向結合器により測定される。
【0055】Pr : 反射電力 |Z|: インピーダンスの絶対値 <phi : インピーダンスの位相 Tsp: 同調設定点 Lsp: 負荷設定点 Tfb: 同調フィードバック(測定値) Lfb: 負荷フィードバック(測定値) 図5は、電源を含むさまざまな構成要素を制御するため
のシステムの一例のブロックダイアグラムである。ここ
ではシステム制御装置500が、周囲の(或いはスプリ
ット又は上部電極)電源27、インピーダンスブリッジ
502、同調/負荷を備えた整合回路網28、上部電極
25、バイアス電源504、インピーダンスブリッジ5
05、整合回路網43及び陰極32Cにインターフェイ
スされている。イオン束密度及びイオン・エネルギーの
ために選択されたプロセスパラメータ上部電極電力及び
直流バイアスは、制御装置500に対して入力として供
給される。制御装置500は同様に、ガス流、チャンバ
圧力、電極又はウェーハ温度、チャンバ温度その他とい
ったその他のパラメータをも制御することができる。制
御装置500は、同調/負荷を備えた整合回路網28に
接続されたTsp1 及びLsp1 ライン上で信号を発するこ
とにより、初期同調1 、負荷1 条件を予め設定すること
ができる。制御装置500は同様に、整合回路網43に
接続されたTsp2 及びLsp2 ライン上で信号を発するこ
とにより初期同期2 及び負荷2 条件を予め設定すること
ができる。標準的に、これらの条件は、プラズマ開始
(ガス降伏)を最適化するように選択される。電力はま
ず電極25又は陰極32Cのいずれかに適用されるか或
いは又両方に同時に適用されてもよい。制御装置はPsp
1ライン上で電源27へ又はPsp2 ライン上でバイアス
電源504へ同時に又は逐次的に(いずれかの順序で)
電力設定点を発する。
【0056】電子なだれ降伏は、気体中で急速に発生し
プラズマを生成する。制御装置500は、電極25へ及
び電極25からの順方向電力(Pf1)及び反射電力(P
r1)を監視し、陰極32Cへ及び陰極32Cからの順方
向電力(Pf2)及び反射電力(Pr2)を監視する。直流
バイアス(陰極対陽極直流電圧)も又、図示されている
ようにコントローラ制御装置500により監視される。
制御装置500は、(a)順方向電力Pf1及び反射電力
Pr1又は(b)インピーダンス絶対値、|Z1| 及び
インピーダンス位相<phi のいずれかに基づいてライン
Tsp1 及びLsp1 上で設定点を発することにより、電極
同調1 及び負荷1 パラメータを調整する。ブリッジ50
2はインピーダンス絶対値と位相角についての情報を制
御装置に提供する。電極25は、反射電力Pr1がほぼゼ
ロであるとき及びインピーダンス(絶対値及び位相|Z
1 |<phi )が上部電極電源出力インピーダンスの複素
共役である場合に整合される。(ゼロ反射電力条件及び
共役インピーダンス条件は同時に発生する。従って、反
射電力を最小限にしてもインピーダンスをマッチングし
ても、結果は同じである。代替的には、VSWR(電圧
定在波比)又は反射係数を最小限におさえることもでき
る)。制御装置500は、(a)順方向電力Pf2及び反
射電力Pr2及び(b)インピーダンス絶対値|Z2 |及
びインピーダンス位相<phi2のいずれかに基づいてTsp
2 及びLsp2 ライン上で設定点を発することにより、陰
極32C及び整合回路網43の同調2 及び負荷2 パラメ
ータを調整する。ブリッジ505は、制御装置500に
対してインピーダンス絶対値|Z2 |及び位相<phi2
ついての情報を提供する。マッチングは、電極マッチン
グと同様に、反射電力Pr2が基本的にゼロである場合及
びインピーダンス(絶対値及び位相|Z2 |<phi2)バ
イアス電源504出力インピーダンスの複素共役である
場合に起こる。直流バイアスは制御装置500により監
視され、この制御装置は望ましい測定された直流バイア
スを得るためバイアス電源の出力電力を変化させる。制
御装置500は、直流バイアスの望まれる値から直流バ
イアスの測定値を引く。その差が負である場合、バイア
ス電源504出力は増大される。その差が正である場
合、バイアス電源504出力は減少される(より高いバ
イアス電源504出力は、さらに負の直流バイアスを生
成する)。この方法に従って、比例、比例−積分又は比
例−積分−微分制御又はその他の制御をこの方法に従っ
て使用することができる。
【0057】代替的には、一定の直流バイアスを維持す
るべくバイアス電源504出力を調整する好ましい実施
態様の代りに、一定のバイアス電源504出力を用いる
こともできる。制御装置500は、中央制御装置であっ
ても、或いは又複数の制御装置の分散形システムであっ
てもよい。
【0058】その他の特長 本発明の好ましい特長は、一定の陰極(ウェーハ)シー
ス電圧を維持するため自動的に「下部」又は支持電極バ
イアス電力を変動させることにある。きわめて非対称な
システムにおいて低電力(<500mt)で、陰極にお
いて測定された直流バイアスは、陰極シース電圧に近い
近似値である。下部電力は、一定のDCバイアスを保つ
べく、そして一定のシース電圧を保つべく、前のセクシ
ョンで述べたように自動的に変化させることができる。
下部電力は、プラズマ密度及びイオン電流密度に対しほ
とんど影響を及ぼさない。上部電極電力は、プラズマ密
度及び電流密度に対し非常に強い効果を及ぼすが、陰極
シース電圧に対しては非常に小さい影響しか及ぼさな
い。従って、プラズマ及びイオン電流密度を規定するに
はトップ電力を又陰極シース電圧を規定するにはボトム
電力を使用することが望ましい。
【0059】リアクタチャンバシステム10内に内含さ
せることのできる特長としては、吸気マニホルド23の
内部及び/又は外部温度を一定の値以上又は以下に或い
は又一定の範囲内に維持するための流体伝熱媒体の使
用;陰極32Cを加熱又は冷却するための流体伝熱媒体
の使用;チャンバの壁12又は上部13を加熱又は冷却
するための流体伝熱媒体の使用;陰極32Cの抵抗加
熱;ウェーハ5と陰極32Cの間の気体伝熱媒体の使
用;及び陰極32Cにウェーハ5を締めつけるための機
械的又は静電式手段、が含まれるが、これらに限られる
わけではない。このような特長は、本書に参考として内
含さる1989年10月10日発行の共同譲渡の米国特
許第4,872,947 号及び1989年6月27日発行の共同
譲渡された米国特許第4,842,683 号に開示されている。
【0060】本発明に基づくプラズマリアクタシステム
は、従来通りの方向性つまり垂直方向に、基板5が電極
(陰極32C)の上にあり、電極25が電極の上方に位
置づけされた状態で、図1に示されている。便宜上、電
極25に供給される電力をここでは時々「上部」電力と
呼び、電極(陰極32C)に供給される電力を「バイア
ス」又は「下部」電力と呼ぶ。これらの表現及び名称
は、便宜上のものにすぎず、記述されているシステムを
逆転させるつまり電極を上にして電極25をこの電極
(陰極32C)の下方に位置づけた状態で構成すること
も可能であり、或いは又変更無しに水平方向といったそ
の他の形で方向づけすることもできる。要するに、この
リアクタシステムは方向性とは無関係に作動するのであ
る。逆転構成においては、プラズマは電極25で生成さ
れ、明細書に記されているのと同じ要領で電極25の上
方に位置づけされた基板5まで上向きに輸送される。す
なわち、活性種の輸送は、拡散及びバルクフローにより
起り、オプションとしては軸方向勾配をもつ磁場によっ
て補助される。このプロセスは、重力に依存しておら
ず、従って方向性による影響が比較的少ない。逆転した
方向性は例えば、気相内のプラズマ生成領域内又は表面
上に形成された粒子が基板上に落ちる確率を最低限にお
さえるのに有効でありうる。このとき重力はこのような
粒子が最小のもの以外全て重力による電位の傾きに対し
上向きに基板表面まで移動する確率を減少させる。
【0061】本発明のチャンバの設計は、高低両方の圧
力でのオペレーションに有効である。ウェーハ支持陰極
32Cと電極25の平面の間の間隔dは、高低圧両方の
オペレーションに合わせて調整することができる。例え
ば500ミリトール−50トールでの高圧オペレーショ
ンは好ましくは、d≦約5センチメートルの間隔を使用
し、一方0.1ミリトール未満〜500ミリトールの範囲
内での低圧オペレーションの場合d<5センチメートル
の間隔どりが好ましいものでありうる。チャンバは、図
示されているように固定間隔dを内含することができる
し、或いは又互換性ある又は入れ子式の上部チャンバセ
クションといった可変的間隔どりの設計を使用すること
もできる。リアクタシステム10は、酸化シリコン及び
窒化シリコンといったような材料の高低圧析出;二酸化
シリコン、窒化シリコン、シリコン、ポリシリコン及び
アルミニウムといった材料の低圧異方性反応性イオンエ
ッチング;このような材料の高圧プラズマエッチング;
及びウェーハ微細構成の平坦化を含むこのような材料の
同時析出及びエッチバックが関与するCVDファセッテ
ィングといったプロセスに有効である。リアクタシステ
ム10を使用することのできるこれらの及びその他のプ
ロセスは、本書に参考として内含されている、1990
年7月31日Callins 他の名で提出された「半導体ウェ
ーハ上の集積回路構造の形成に使用するためのVHF/
UHFプラズマ方法」という題の共同譲渡された米国特
許出願明細書(AMAT出願番号151−2)に記述さ
れている。
【0062】6.別の電極構成 図2は、代わりのシステム120を略図示しており、こ
れは図1のシステム10に類似してはいるが、ドーム1
7の代わりに平らな誘電性の窓17Pがエンクロージャ
ーの頂部に形成されていて、平らな高周波電極25P
(窓17Pの頂部に形成されるのが好都合である)が円
形電極25の代わりに使われている点で異なっている。
図2の構成は、図1のそれと同様に作動するけれども、
電場はその様に限定されていなくて、ウェーハ5の平面
に対して垂直である点では異なる。その結果として、シ
ステム120はシステム10の損傷抑圧特性をもたらさ
ない(即ち、システム10と同程度にはウェーハ損傷を
抑圧しない)。
【0063】図3は、頂部電極25Pがエンクロージャ
ー壁に接続されていてエンクロージャーが低周波電力に
接続されているためにシステム120の逆となっている
システム130を示す。図4は、頂部電極を使わないシ
ステム140を示す。その代わりに、低周波ソース及び
高周波源の両方がウェーハ支持電極32に接続されてい
る。図1のシステム10に対して、この混合周波数構成
に起因する差異は、第1に、単一の電極を使って密度及
びエネルギーをそれぞれ制御するためにHF及びLF電
力を使用すること、第2に、この構成はシステム10の
損傷抑圧特性をもたらさない(即ち、システム10の範
囲に対する損傷を抑圧しない)ことである。
【0064】上記の整合回路網28は、高周波差動駆動
の無接地平衡型スプリット電極構成により課される要件
を充たすために好都合である。また、伝送線構造32
と、付随の整合回路網43は、好都合な方式である。よ
り一般的には、特に低周波結合のために在来の伝送線構
造と整合回路網とを使用することが出来る。 7.装置の例 私のシステムの本作業実施例は、図1に示されているド
ーム構成とスプリット電極構成とを取り入れている。短
い石英製ガラス鐘チャンバ17は10インチの直径を有
する。直径10.2インチ、高さ2インチの電極25は、
無接地であり、ドーム型処理チャンバ16Aを囲んでい
る。反射ボックス44はアルミニウム製である。1キロ
ワット、200MHz の高周波RFエネルギーを使用す
る動作により、頂部電極より約4インチ下流側(即ち、
下方)にウェーハへ延在するプラズマが得られる。これ
により、1〜2×1012/cm3 のプラズマ密度と、ウェ
ーハにおいて下流側に10〜15mA/cm2 のイオン飽
和電流密度とが得られる。頂部電極の下方(下流側)約
4インチで支持電極上に位置する5インチのウェーハに
13.56MHz 、200ワットの低周波補助バイアスを
加えると、200ボルトのカソード・シース電圧が得ら
れる。 1 1
【0065】8.方法の例 本発明を実施した上述のリアクタは、反応性イオンエッ
チング(RIE)、高圧プラズマエッチング、スパッタ
ファセット析出及び平坦化を含む低圧化学蒸着(CV
D)及び高圧コンフォーマル等方性CVDなどといった
数多くのプラズマプロセスのためにのみ有効である。そ
の他の応用分野としては、スパッタエッチング、イオン
ビームエッチング又は電子、イオン又は活性中性プラズ
マ供給源として、などが含まれるがこれらに限られるわ
けではない。
【0066】RIE及び低圧CVDは標準的に最高50
0mt(ミリトール)の圧力を用いる。高圧プラズマエ
ッチング及び高圧コンフォーマル等方性CVDプロセス
は、約500mtから約50トールまでの圧力で実施さ
れうる。 (a) 反応性イオンエッチング(RIE) 本発明に従うと、RIEモードで、酸化シリコン、シリ
コン(単結晶シリコン)、ポリシリコン(多結晶シリコ
ン)、アルミニウム及びその他の材料をエッチングする
ことができる。この目的のため、高周波交流電気エネル
ギーは、上部電極25によりプラズマに結合される。標
準的には、陰極32C(ウェーハ支持電極又は陰極)に
対し相対的に低い周波数の交流電気エネルギーが加えら
れる。望ましいプラズマ及びイオン束密度を得るために
は高周波上部電極電力が選択され、望ましい陰極シース
電圧ひいてはイオン・エネルギーを独立して制御するた
め低い周波数の交流電気エネルギーのバイアス電力が選
択される。低圧アプリケーションつまり約0.1〜500
ミリトールの範囲内の圧力が関与するアプリケーション
においては、陰極又はウェーハシース電圧は陰極の直流
バイアスときわめて近く、その結果、バイアス電圧の計
測値を陰極又はウェーハシース電圧値を監視するのに用
いることができる。
【0067】標準的には、有効な高周波交流電気エネル
ギーの範囲は50〜800MHz であり、好ましい有効
範囲は50〜400MHz であり、最も好ましい範囲は
50〜250MHz である。相対的に低い周波数の交流
電気エネルギー(バイアスエネルギー)範囲は10KH
z 〜50MHz 、100KHz 〜30MHz 及び5〜1
5MHz である。相反する規定のないかぎり、この番号
づけした節の中で以前に規定した周波数及び圧力の範囲
は、以下のRIE表に規定されたプロセスパラメータに
あてはまる。有効な、好ましい及び最も好ましい範囲
は、一般に表中の範囲1、2及び3に相当する。
【0068】RIEの例1:シリコン重合体上の酸化シ
リコン(接触窓穴エッチング:Contact Window Hole Et
ch) 酸化シリコンのRIEの第1の例として、下層のシリコ
ン重合体のゲートに対して酸化物により接触窓穴を形成
することを考えてみる。この応用例はシリコン重合体若
しくは下層のゲート酸化物に対して損傷を与えない、マ
イクロローディングがない、酸化物/重合体の選択性が
高いこと(20/1)、垂直な酸化エッチング断面とな
ること、および高い酸化エッチング・レートであること
(通常の酸化物の厚さは1ミクロン以上である)を含む
複数の条件を充足するよう考えられたものである。高い
選択性のためにはエッチング・プラズマにおいておよそ
500eVのイオン・エネルギーを必要とする。
【0069】この分野の熟練家に知られており、酸化物
において接触窓穴のエッチングのために好適な化学作用
ガスは主要なエッチング用試薬としての高いエッチング
率のフッ素を含み、エッチングの選択性を高めるために
炭素および酸素化合ガスを含みうる。用いられるガスを
特定するとすると、CHF3、CF4 、C2F6、C4F8、CH4
H2、NF3 、およびSF6 などが考えられる。好ましいフッ
素に対する炭素の割合はC/F=0.1/1から2/1で
あり、水素が含まれる場合、好ましいフッ素に対する水
素の割合はH/F=0.1/1から0.5/1である。アル
ゴンはより好ましい不活性ガスの不純物である。なぜな
ら、それは比較的質量の大きい、かつ不活性なものであ
り、RIEプロセスのスパッタエッチング要素に貢献し
て、垂直方向の異方性を改善するものであるからであ
る。
【0070】1Kw、200MHz の高周波電力(「上
部」出力電力)600ワット、13.56MHz の補助バ
イアス(「下部」若しくは“バイアス”電力)、10〜
30ミリトルの圧力を用いて、化学作用ガスCHF3/アル
ゴンおよびガス流率100sccm/120sccmにより、2
0/1の重合物に対する酸化物の選択性で5,000〜7,
000オングストローム/分の酸化エッチング率とな
る。
【0071】表1は上述の厳しいエッチング条件を満た
す典型的な接触窓エッチングプロセスを要約するもので
ある。RIEの例2:金属上の酸化シリコン(エッチング穴を
介して ) シリコン酸化物のRIEエッチングの第2の例として、
エッチング穴を介してシリコン酸化層から下層のアルミ
ニウム導電層若しくは他の金属層へのエッチングを考え
てみよう。本例においては、決定的な条件として、下層
の素子に対して損傷を与えないこと、下層のアルミニウ
ムに損傷がないこと(すなわち、スパッタリングがない
こと、垂直な酸化エッチング断面となること、および高
い酸化エッチング率であることなどの複数の条件を充足
する必要がある。これらの目的のために好適な化学作用
ガスはフッ素化合物、代表的に炭素である。水素も酸化
物/フォトレジストのエッチングの選択性を改善するた
めに用いられうる。用いられるガスを特定すると、CH
F3、CF4 、C2F6、C4F8、CH4 、H2、NF3 およびSF6 など
考えられる。好ましい割合はC/F=0.1/1から2/
1であり、Hが存在する場合、H/F=0.1/1から0.
5/1である。前出の酸化物の例においても同様に、ア
ルゴンが好ましい不活性ガス添付物となる。なぜなら、
それは比較的、質量が大きく、RIEプロセスの(酸
化)化合物のスパッタエッチングに貢献し、本プロセス
の垂直方向の異方性を改善するためである。また、低位
のカソード・シース(cathode sheath)の電圧は通常3
00ボルト以下であるが、アルミニウムをスパッタリン
グしないようにすることが望ましい。好ましくは、その
電圧は200ボルト以下であり、およそ100〜150
ボルトが最も好ましい。1.5KVW、200MHz の上
部電力、10〜30ミリトルの圧力、200ボルトのカ
ソード・シース電圧を供給するための13.56MHz で
およそ200ワットの降圧されたバイアス若しくは下部
電力により、75/75/120の流れ率のCHF3/CF4
/アルゴンの化学作用ガスはアルミニウムをスパッタリ
ングすることなく、4000〜5,000オングストロー
ム/分の割合でエッチングを行う。CF4、C2F6、C4F6、C
H3 、F 、CH4 などの他の化学物質が用いられうること
は本技術の熟練家に知られているとおりであり、それら
を様々に組み合わせて用いることもできる。
【0072】表2は穴を介してのエッチングに好適なシ
リコン酸化物エッチングプロセスを表わすものである。
表2の代表的なカソード・バイアス電圧は好ましいカソ
ード・シース電圧をもたらしている。RIEの例3:酸化物スパッタエッチング 表3は第3の非反応的なイオンエッチングによる酸化物
エッチングプロセス、すなわち酸化物スパッタを行うた
めの代表的な処理パラメータを示している。このプロセ
スは比較的非反応性のガス、好ましくはアルゴンを用い
て、あらかじめ作り込まれていた膜の再生(etch back)
およびシリコン上にもともと存在する酸化物の除去のた
めに有益である。
【0073】RIEの例4:選択的なシリコン重合体の
エッチング(選択的に酸化物につながる重合体ゲートの
エッチング) シリコン重合体の反応性イオン・エッチング(RIE)
、特に下層の酸化物層などの酸化物に対する選択的な
シリコン重合体のエッチングにはゲートおよびゲート酸
化物の内部接続のために損傷がないこと、マイクロロー
ディングがないこと、垂直なシリコン重合体のエッチン
グ断面となること、重合体/酸化物のエッチングの選択
性が高いこと(通常、30対1以上)、適度なエッチン
グ率であること(重合体の厚さは2,000〜5,000オ
ングストローム)により特徴づけられるエッチングプロ
セスを必要とする。表4を参照すると、これらの目的を
達成するための好適な化学作用ガスはハロゲンを含むガ
ス化合物を含んでいる。通常のエッチング温度、すなわ
ち0℃あたりより高い温度では塩素または臭素が好まし
い。−40℃より低くなると、フッ素が用いられうる。
また、垂直エッチングの異方性を高めるために、アルゴ
ン若しくはヘリウムなどの不活性ガスがそのような化学
作用ガスに添加されてもよい。重合体/酸化物のエッチ
ングの選択性を改善するために酸素などの他の添加ガス
が加えられてもよい。上述のアルミニウム上の酸化物の
RIEエッチングにおいてそうであったように、低いカ
ソード・シース電圧(200ボルトより低く、さらには
100ボルトより低く、よりさらには50〜100ボル
ト)が重合体/酸化物のエッチングの高い選択性を得る
ためには好ましい。
【0074】次のプロセス・パラメータは35/1のシ
リコン重合体/酸化物の選択性により3,000〜4,00
0オングストローム/分のシリコン重合体のゲート形成
エッチング率をもたらすものである。すなわち、共振器
のところで動作する500ワット、200MHz の上部
出力、およそ75ボルトの低いカソード・シース電圧を
もたらす、13.56MHz で100ワットの下部出力、
10〜15ミリトルの圧力、80sccm/400sccm/
(0〜4sccm)の流れ率のエッチング化学作用ガスCl2
/He/O2(酸素は選択的なもの)というパラメータであ
る。BCl3などの他の塩素化合物が用いられてもよい。
【0075】RIEの例5:アルミニウムエッチング 表5は下層の素子に損傷がないこと、およびアルミニウ
ムの腐食がないこと、そして高いアルミニウムのエッチ
ング率(通常は5,000〜10,000オングストローム
/分)となることの条件を満たすアルミニウムのRIE
エッチングのためのプロセス・パラメータを示すもので
ある。好適な化学作用ガスは塩素および臭素化合ガスで
あり、それらが単体で若しくは組み合わされて用いられ
うる。アルゴンなどの比較的、非反応性(不活性)のガ
スが断面制御の目的のために用いられうる。塩素と化合
した物質によるエッチングの後のアルミニウムの腐食を
最小とするために、フォトレジストのストリップおよび
フッ化アルミニウムによる表面処理が同じ室または他の
室において施されうる。
【0076】RIEの例6:単結晶シリコンエッチング 表6は損傷(通常のRFシステムにおける高いエネルギ
ー衝激によりひき起こされる格子損傷)がないこと、垂
直なシリコンエッチング断面となること、すなわち、高
い面比率(1/w)となるという処理条件に従う単結晶
シリコンのRIEエッチングのための代表的な実証済の
パラメータを示すものである。化学作用ガスはハロゲン
化合物を含み、より好ましくは、断面制御のためのヘリ
ウム、酸素などの添加物(HBr /SiF4/NF3 /O2/He)
と同様に断面制御のために、臭素およびフッ素化合物
(例えば、HBr +SiF4若しくはHBr +SiF4+NF3 )の両
者を含む。
【0077】RIEの例7:タングステンエッチング 表7は下層の素子に損傷を与えることなくRIEエッチ
ングを行うタングステンのためのプロセス・パラメータ
を表わしている。このプロセスはNF3 またはSF6 などの
フッ素化合ガス、そして、選択的にスパッタエッチング
の要素を増加させる目的のためにアルゴンなどの不活性
ガスを含む化学作用ガスに基づいている。
【0078】RIEの例8:異方性のフォトレジストの
エッチング フォトレジストの異方性の反応性イオン・エッチングは
例えば、より高精な素子のために防食物質をパターン配
置するために用いられる。プロセスのための条件は垂直
なエッチング断面となり、下層の素子に損傷を与えない
ことである。表8はRIEを用いたフォトレジストの異
方性のパターン化を施すためのパラメータを示してい
る。関連する化学反応ガスは酸素を含み、選択的にC
F4 、C2F6、NF3 および/またはSF6 などのフッ素化合
ガスを含む。ウェーハはフォトレジストが綱状になるこ
とを避けるために、低い温度、より好ましくは125℃
より低い温度、最も好ましくは75℃より低い温度に維
持される。ウェハー保持体の液体冷却の装置の説明のと
ころで前述されたとおり、電極/カソード/ペディスタ
ルが必要な温度制御を行うために用いられうる。
【0079】異方性の断面は200MHz 、1Kwの上
部出力、10〜30ミリトルの圧力、O2 が30〜10
0sccm、CF4 (選択的なものであるが)が10〜50sc
cmの流量率である化学反応ガス、13.56MHz で0〜
200ワットの下部バイアス、およそ60℃のカソード
電圧を用いてフォトレジスト内にエッチングされる。RIEの例9:障害層のエッチング チタニウム、タングステン、または窒化チタニウムなど
の物質からなる障害層は酸化物、アルミニウムなどの物
質からなる層の間に形成される薄い層である。例えば、
障害層は積層された酸化物層における通路穴の形成の間
のアルミニウムの損傷/エッチングを防ぐために用いら
れうる。この障害層は酸化物通路エッチングの後で、か
つ、アルミニウムへの適宜なオーム接触を許容するため
にその通路を満たす前に取り除かなければならない。そ
のような障害層エッチング・プロセスの重要な特徴は例
えば、下層のアルミニウムをスパッタリングすることに
より、下層の層または素子に損傷を与えないことであ
る。表9は塩素化合物、およびフッ素化合物の構成物を
含むハロゲンを基本とする化学作用ガスのためのプロセ
ス・パラメータを表わしている。
【0080】(b) 光エッチング いわゆる光酸化物エッチングは更なる損傷を与えること
なく、酸化物またはシリコン重合体などの物質からなる
破損した薄い層を除去するために、主要な酸化エッチン
グステップの後に用いられる。本光エッチングは低い衝
激エネルギーを用いて(ウェハー保持体の電極/陰極の
ところで)下方向のエッチングを施すことによって、部
分的に更なる損傷を与えることなく、損傷部分は除去す
るという条件を満たすものである。表10はフッ素化合
の化学作用ガスを用いた好適な光酸化エッチングプロセ
スを表わしている。表10の光酸化エッチングプロセス
はフッ素化合ガスに代えて、Cl2 などの塩素化合構成ガ
スを用いることによりシリコン重合体のための光エッチ
ングプロセスに変更されうる。
【0081】一実施例においては200MHz 、200
〜1,000ワットの上部出力でバイアス若しくは下部出
力なしで、10〜50ミリトルの圧力で30〜120sc
cmの流量率のCF4 により、100〜1,000オングスト
ローム/分という低いエネルギーの酸化物エッチングレ
ートとなる。 (c) 高圧プラズマ・エッチング 本発明に従えば、シリコン酸化物、シリコン重合体、フ
ォトレジスト、および他の物質は高圧プラズマ・エッチ
ング・モードにおいてエッチングされうる。基本的な特
徴および動作は11章の表題の下の第1節のところで前
述されたとおりである。特に、高周波交流電気エネルギ
ーが実質的に閉じたループ上部電極によりプラズマに結
合される。相対的に低い周波数の交流電気エネルギーが
必要に応じてカソード(ウェハー保持体の電極/陰極)
に印加されてもよい。高周波の上部電極の電力は所要の
イオン束密度を得るために選択され、低周波の交流バイ
アス出力(電力)は所要のカソード・シース電圧、そし
て、イオン・エネルギを独立に得て制御するために選択
される。
【0082】次のようにバイアス出力および圧力を選択
することによって、断面制御が高圧エッチングの間に可
能となる。高圧(1〜50トル)および低バイアス出力
(0〜200W)で、このプロセスは等方性若しくは水
平方向に準異方性となりうる。バイアス出力を増加し、
(200W〜1,000W)および/または圧力を低減す
る(500mt〜1torr)ことによって、このエッチン
グ・プロセスは垂直方向に準異方性若しくは垂直方向に
異方性となりうる。一般に、バイアス出力を増加/低減
すると垂直方向の異方性を増加/低減することとなり、
圧力が増加/低減すると、垂直方向の異方性を増加/低
減することとなる。通常、有益な上部およびバイアスの
周波数は各々、50〜800MHz および10KHz 〜
50MHz であり、より好ましい有効な範囲は50〜4
00MHz および100KHz 〜30MHz であり、現
在までの最も好ましい範囲は50〜250MHz および
5〜15MHz である。
【0083】高圧プラズマ・エッチング:等方性酸化物
エッチング 図6は、フォトレジスト・パターン設定マスク604を
用いて接触子若しくはバイアホール601が酸化物層6
03を介して下層の導電体602にエッチングされた後
に存在する構造を示すものである。集積回路素子がます
ます小さくなり、それに応じてバイアホール601など
が狭くなるにつれ、ホールを満たすことはますます困難
となる。図7を参照すると、図7の606のところに示
されるように、まずホールの上部を拡げることによりア
ルミニウムの充填はより容易となった。拡げるためのス
テップには水平方向のエッチング要素を有するエッチン
グ・プロセスを必要とする。さらに、このエッチング・
ステップは集積回路部分を破損しないようにすることが
望ましい。表11の本プロセスはこれらの条件を満足
し、方向の制御を必要とする他の応用例に対すると同様
に図7の描かれた応用例にも理想的に適合する。
【0084】さらには、前述のごとく、バイアス出力お
よび圧力はバイアスなしか、またはとても低いバイアス
で比較的高い圧力(3〜50torr)を用いた水平方向選
択から、バイアスなしから低いバイアス(0〜200
W)で適度な圧力(1〜3torr)による等方向性か、よ
り低い圧力(500mt〜1torr)でより高いバイアス
(200〜1,000W)による垂直方向選択に、エッチ
ングの方向性を変えるために選択され得る。表11に示
されるように、ウェハーの温度はフォトレジストが綱状
となり、その結果、パターン設定が損失することを防ぐ
目的のために、125℃より低く維持される。
【0085】200MHz 、1〜1.5Kwの上部出力
で、およそ1torrの圧力、500sccm〜2,000sccmの
NF3 若しくはCF4 、かつ、およそ60〜75℃のアソー
ド温度であれば、等方性のシリコン酸化物エッチング・
レートはおよそ2,500〜4,500オングストローム/
分となる。フォトレジスト・ストリップ 厚いフォトレジスト・マスクを描くためには関連する集
積回路部品に損傷を与えることなく、かつ、エッチング
残留物なしの高いフォトレジスト・エッチング・レート
が必要となる。下部方向のプロセスがより好ましい。表
12は主要はフォトレジスト・エッチング用物質として
酸素を含み、選択的にストリップ・レートを増加させる
目的のために窒素を含み、および/または、より好まし
くは(アルミニウムの)表面処理のためのフッ素化合ガ
スを含む、化学作用ガスに基づく好適なプロセスを示し
ている。ウェハーの温度はレジストが綱状になること
(resist reticulation )を避けるために300℃より
低くなるよう制御される。さらに、表中の第3の例(領
域3)はフッ素の表面処理を行うものである。
【0086】高速の下部方向のフォトレジスト・ストリ
ップ・プロセスでは200MHz 、1〜1.5Kwの上部
出力(バイアス若しくは下部出力はなし)、およそ1to
rrの圧力、O2が800〜1,000sccm、N2(選択的なも
のであるが)が100〜200sccm、CF4 (選択的なも
のであるが)が0〜100sccmの流量率のエッチング用
化学作用ガス、および100〜200℃のカソード温度
(ストリップ・レートは温度に依存する)により、分当
たり1〜3マイクロメートルのストリップ・レイトとな
る。
【0087】9. 化学蒸着(CVD) 本発明によると、低圧の化学蒸着(LPCVD)がシリ
コン酸化物、(ホウケイ酸ガラス(BSG:borosilica
te glass)、リンケイ酸ガラス(PSG:phosphosilic
ate glass )、硼素リンケイ酸ガラス(BPSG:boro
phosphosilicate glass)を含む)ホウ素酸化物および
リン添加酸化物および窒素プラズマを含む様々な物質を
蒸着するのに用いられうる。CVD(化学蒸着)を行う
ための上部電極、バイアス電極および圧力の範囲は前述
のRIEエッチング・プロセスのために用いられたもの
と同様である。すなわち、プラズマに結合される高周波
交流電気エネルギーは50〜800MHz で有効であ
り、好ましくは50〜400MHz であり、現在までの
より好ましい範囲は50〜250MHz である。相対的
に低い周波数の交流電気エネルギーが必要なときに、1
0KHz 〜50MHz、100KHz 〜30MHz 、お
よび5〜15MHz の範囲を使用してウェハー保持装置
の電極/カソードに印加される。高い周波数の上部電極
電力は所要のイオン束密度を得るよう選択され、低い周
波数の交流バイアス出力は所要のカソード・シース電
圧、そしてイオン・エネルギーを独立に得て、制御する
ために選択される。好ましくは、圧力は0.1〜500m
tの範囲内にあり、より好ましくは1〜100mtの範
囲内にある。
【0088】また、本発明によると、高圧の化学蒸着
(HPCVD)は前節で説明した高い周波数の上部エネ
ルギおよび低い周波数のバイアス・エネルギを用いて、
かつ代表的には500ミリトルより高い圧力を用いて前
出の節で説明したようなものを含む様々な物質を蒸着す
るのに用いられうる。HPCVDの応用例において、高
周波交流電気エネルギーは上部電極によりプラズマに結
合され、相対的に低い周波数の交流電気エネルギーはウ
ェーハ保持装置の電極に印加される。本実施例におい
て、高い周波数の出力が所要のプラズマ密度を得るため
に選択され、低い周波数の交流バイアス出力は所要のカ
ソード・シース電圧、そしてイオン・エネルギーを独立
に得て制御するために選択される。HPCVDプロセス
のためには、元の分子およびイオンの束密度の両者が重
要である。高い圧力はイオン蒸着物に対する元の分子の
蒸着物の比率を変えるのに用いられる。比較的高い圧力
(5〜50mt)で低いバイアス(0〜200mt)で
あれば、イオンに対し、より多くの分子を生成し、より
低いバイアスであれば、イオンの方向性はより小さくな
る。およそ500mt〜5トルの相対的に低い圧力で高
いバイアスであれば、イオンに対し、より少ない数の分
子となり、およそ200〜1,000Wの高いバイアスで
あれば、イオンの方向性はより大きくなる。これらのパ
ラメータを制御することにより、蒸着された薄膜の均質
性の度合いは高圧、バイアスなしの条件下での少し水平
方向選択から、適度な圧力で、非常に低いバイアスかバ
イアスなしによる等方向性か、より低い圧力で、より高
いバイアスでの垂直方向選択へと変えられうる。水平方
向選択の圧力は10〜50トルでバイアスはなし、等方
向性の場合、圧力は5〜10トルで、バイアスは0〜2
00W、そして、垂直方向選択の圧力は500mt〜5
トルでバイアスは200〜1,000Wである。
【0089】(a) 低圧CVD 1)窒化プラズマおよびオキシ窒化プラズマ 窒化プラズマおよびオキシ窒化プラズマの適用例として
表面処理層および金属間の絶縁がある。このような適用
例において、関連する蒸着プロセスによって素子を破損
してはならない。表面処理層を形成するのに用いられる
ときは、本プロセスは圧力制御を伴なった好適な湿気遮
断物を設けなければならず、金属間の絶縁体を蒸着する
のに用いられるときは、ステップ・カバレッジ(step co
verage)、高い絶縁強度、制御される物理的属性(圧
力)電気的属性(絶縁強度および絶縁定数)、光学的属
性(吸収スペクトラム)、および化学的属性(水素含有
量)を設定しなければならない。なお、通常の窒化プラ
ズマおよびオキシ窒化プラズマは化学量的なものではな
く、むしろ、蒸着される窒化物質はSi −H−Nであ
り、オキシ窒化物質はSi −H−O−Nである。
【0090】通常、この化学作用ガスは水素含有量の少
ない窒素が必要であるときは、シラン(Silane)および窒
素を含み、高い水素含有率でも耐えうる場合は、シラ
ン、窒素およびアンモニアを含み、化学作用ガスが亜酸
化窒素および酸素そのものなどの酸素化合ガスを含み、
通常はより低い窒素の流量率であることを除いてはオキ
シ窒化物についても同様に考えることができる。窒化プ
ラズマ蒸着およびオキシ窒化プラズマ蒸着のための対応
するプロセスは表13および14中に各々、要約され
る。
【0091】2)LPCVD酸化物 LPCVDシリコン酸化物の適用例には金属間絶縁があ
る。重要な処理条件にはLPCVD窒化プラズマに関し
て前に詳述したように、下層の素子に損傷を与えないこ
と、ギャップを充填する能力があること、高能率の蒸
着、および物理的、電気的、光学的、化学的属性を制御
することなどがあげられる。通常このプロセスのための
化学作用ガスは(シランまたはTEOSなどの)シリコ
ン化合ガス(酸素そのものまたは亜酸化窒素の)酸素化
合ガス、そして、選択的に、(代表的にはアルゴンなど
の)不活性ガスを含む。BSG、PSG、およびBPS
Gガラスとするためにホウ素およびリン添加物を追加し
てもよいし、また、例えば、段差被覆(ステップ・カバ
レッジ)を改善する目的のためにヒ素添加物が追加され
てもよい。関係するプロセスは表15に要約されてい
る。
【0092】上述のLPCVD酸化物プロセスに関する
一変形例はバイアス・スパッタ蒸着であり、それは2つ
のステップのプロセスである。まず、表15のプロセス
が用いられるが、薄い酸化物層を蒸着するために下部の
バイアスはなしで、確実にアルミニウムがスパッタリン
グされないようにする。次に、下部のバイアスおよびア
ルゴン流が表15に示されるようにスパッタのファセッ
ト(facet) 蒸着を行うために追加される。
【0093】第3の変形例においては、スパッタ・エッ
チング・レートに対するバイアスされない蒸着レートの
割合がウェハーの形状を平坦化するよう選択されるよう
に、バイアス・スパッタ蒸着プロセスを変形することに
よって、シリコン酸化物の平坦化が行われうる。スパッ
タ・エッチング・レートはバイアスと圧力とにより決定
されるのに対し、バイアスされない蒸着レートは上部電
力と反応物質とにより決定される。したがって、この割
合は4つの要素、すなわちバイアス出力、圧力、上部電
力、および反応物質の流量率を選択することにより決定
される。
【0094】第4の変形例においては、蒸着プロセスの
間に容易に流れて、特徴部位間の大きな面積を充填す
る、B2O3のような物質を組み入れることによって、シリ
コン酸化物の平坦化が全体的若しくは大きい面積での平
坦化プロセスをもたらすように拡張されうる。例示のB2
O3については、関連する化学作用ガスはTMB(トリメ
チルホウ酸(trimethyl-borate )およびO2(選択的には
不活性ガス(He ))である。
【0095】3)CVD低圧(ファセット)蒸着 本プロセスにおいて、しばしばCVDファセット・プロ
セスとして知られているが、充填物質においてすき間が
できることが避けるために溝に酸化物または窒化物を蒸
着すると同時に、シリコン・ウェハーの蒸着の外側(上
部)の角に蒸着された物質(例えば、酸化物若しくは窒
化物)のエッチングが行われる。先行技術においては、
そのような切り出し(faceting) および蒸着はECR/
マイクロウェーブ周波数のプラズマCVDにおいて同時
に行われた。先行技術ではプラズマによるCVDが13.
56MHz などの高周波により行なわれていたので、所
要の切り出しを行うためには蒸着室とエッチング室との
間でウェハーをいったりきたりさせる必要があった。
【0096】本発明によれば、低圧力のCVD蒸着およ
び切り出し(faceting)がプラズマによるCVDプロセス
を用いて同時に行われ、そのプラズマはおよそ50MH
z から800MHz の周波数範囲、好ましくはおよそ5
0MHz からおよそ250MHz までの周波数範囲で動
作する上部電極により励起される。ウェハーのバイアス
はスパッタ・ファセッティングを行うために印加され
る。複雑なマイクロウェーブ/ECR装置を使用した
り、蒸着室とエッチング室との間をウェハーが行ったり
きたりする必要がなくなる。
【0097】さらに、ウェハー形状の平坦化は素子/機
能の形態に基づいてスパッタ・エッチング・レートに対
するバイアスのない蒸着のレートの割合を選択すること
により行われうる。それは、ウェハーを全体的に平坦化
するために蒸着プロセスの間に流れるB2O3などの物質の
蒸着と組み合わされうる。
【0098】(b)高圧CVD 1)均質な等方性窒化プラズマおよびオキシ窒化プラズ
LPCVDに対応して、本高圧CVD、すなわち均質
で、等方性の窒化プラズマおよびオキシ窒化プラズマの
プロセスは表面処理層および金属間絶縁などへの適用例
が考えられる。LPCVDの対応する適用例に関して説
明した条件および化学作用ガスが同様に本例にもあては
まる。HPCVDのプロセスにおいて、バイアス出力は
薄膜密度および圧力を制御するのに用いられる。低位の
窒化水素(SiH4+N2 )および周知のより高位の窒化水
素プラズマ(シラン+窒化物+アンモニア)のためのプ
ロセスが表Pに要約されている。
【0099】表16は化学作用ガスの中に酸素化合物
(酸素若しくは、好ましくは亜酸化窒素)を組み入れる
ことにより、低位の水素含有オキシ窒化物およびより高
位のオキシ窒化物の蒸着のために用いられうる。同じN
2 の流量率がオキシ窒化物および窒化物のために用いら
れうる。 2)均質な等方性シリコン酸化物 このHPCVDプロセスのための適用例および関連する
条件はLPCVDプロセスはすき間を充填するのにより
好適であり、HPCVDは段差被覆(ステップ・カバレ
ッジ)の適用例にとってより好ましいかもしれないとい
う点を除いて、LPCVDの対応する点のためのそれら
と類似している。本発明のHPCVDプロセスはシリコ
ン化合物(代表的には、シランまたはTEOS(テトラ
エチルベンゼン環ケイ酸塩:tetraethylorthosilicate
若しくはテトラエチルオキシケイ酸塩:tetraethyloxys
ilicate ))、酸素化合物(代表的には、酸素そのも
の、または好ましくは、亜酸化窒素)および、選択的に
は不活性ガス(代表的にはアルゴン)を含む化学作用ガ
スを用いる。均質なシリコン酸化物の蒸着のためのHP
CVDプロセス全体は表17に要約されている。
【0100】上記の例は代表的なものである。本技術分
野の熟練家であれば、様々な物質の等方性および異方性
のエッチングを行うためにこれらの例を容易に応用しう
るであろう。
【0101】
【表1】 酸化物コンタクウィンド−エッチング 酸化物/ポリ 範囲 パラメータ 1 2 3 上部電極電力(W) 300〜5000 500〜2500 800〜2000 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 100〜1000 200〜1000 400〜800 バイアス周波数 10KHz〜50MHz 100KHz〜 圧力(mt ) 500 1〜100 5〜50 ウェーハ温度(℃) 125 − − 気体化学組成 (sccm) エチャント CF=0.1/1〜2/1 CH3 30〜600 50〜300 ドーパント HF=0.1/1〜0.5/1 Ar 30〜600 50〜300
【0102】
【表2】 酸化物ビアホールエッチング 酸化物/アルミニウム 範 囲 パラメータ 1 2 3 上部電極電力(W) 100〜5000 300〜2500 800〜200 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 100〜1000 100〜500 100〜300 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 陰極シース(V) 300 200 5〜50 圧力(mt ) 500 1〜100 5〜50 ウェーハ温度(℃) 125 − − 気体化学組成 (sccm) Etch CF=0.1/1〜2/1 CHF3 50〜300 HF=0.1/1〜0.5/1 CF4 50〜300 Ar 50〜300
【0103】
【表3】 酸化物スパッタエッチング 範 囲 パラメータ 1 2 3 上部電極電力(W) 300〜5000 500〜2500 800〜2000 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 100〜800 100〜300 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 1〜100 1〜30 ウェーハ温度(℃) − − − 気体化学組成 (sccm) エチャント 非反応性 Ar Ar
【0104】
【表4】 ポリ/酸化物 範 囲 パラメータ 1 2 3 上部電極電力(W) 200〜1500 300〜1000 300〜750 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜500 0〜300 0〜200 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 陰極シース(V) 200 100 50〜100 圧力(mt ) 500 1〜100 5〜50 ウェーハ温度(℃)(1) >−40℃ (2) <−40℃ 気体化学組成 (sccm) エッチング (1) ClまたはBr Cl2またはHBr Cl2 50〜300 またはBCl3+Ar He 50〜300 O2 2〜20 (2) F SF6またはNF3 SF6 30〜300 +アルゴン Ar 30〜300
【0105】
【表5】 RIEアルミニウム 範 囲 パラメータ 1 2 3 上部電極電力(W) 500〜1500 600〜800 600〜800 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 100〜400 100〜200 100〜200 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 1〜100 5〜50 ウェーハ温度(℃) 125 − 気体化学組成 (sccm) エッチング Cl2/BCl3 Cl2+BCl3 Cl2 30〜100 BCl3 30〜100 ドーパント BBr3
【0106】
【表6】 RIEシリコン 範 囲 パラメータ 1 2 3 上部電極電力(W) 100〜2500 300〜700 300〜700 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜500 0〜200 50〜150 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 5〜50 5〜50 ウェーハ温度(℃) 125 100 75 気体化学組成 (sccm) エチャント ハロゲン HBr/SiF4/NF3 HBr 30〜100 SiF4 0〜20 HBr 0〜10 ドーパント He/O2 O2 0〜10 NF3 0〜20
【0107】
【表7】 RIEタングステン 範 囲 パラメータ 1 2 上部電極電力(W) 100〜2500 200〜500 上部電極周波数 (MHz) 50〜800 50〜250 バイアス電力(W) 0〜500 0〜200 バイアス周波数 10KHz〜50MHz 5MHz〜15MHz 圧力(mt ) 500 10〜100 ウェーハ温度(℃) − − 気体化学組成 (sccm) エチャント F NF3 0〜200 SF6 0〜200 ドーパント イナート Ar 0〜200
【0108】
【表8】 異方性RIEフォトレジスト 範 囲 パラメータ 1 2 3 上部電極電力(W) 300〜2500 300〜1500 300〜1500 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜500 0〜300 0〜200 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 1〜100 5〜50 ウェーハ温度(℃) 125 75 気体化学組成 (sccm) エチャント O O2 O2 10〜300 ドーパント F CF4 CF4 0〜300
【0109】
【表9】 RIE障壁層 TiW/TiN 範 囲 パラメータ 1 2 3 上部電極電力(W) 100〜2500 300〜1000 300〜600 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜500 0〜200 100〜200 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 1〜100 5〜50 ウェーハ温度(℃) 気体化学組成 (sccm) エッチング ハロゲン F+Cl CF4 0〜20 BCl3 10〜100 Cl2 0〜20
【0110】
【表10】 光エッチング 範 囲 パラメータ 1 2 上部電極電力(W) 100〜1000 100〜1000 上部電極周波数 (MHz) 50〜800 15〜250 バイアス電力(W) 0〜200 0〜200 バイアス周波数 10KHz〜50MHz 5〜15MHz 圧力(mt ) 500 5〜100 ウェーハ温度(℃) − − 気体化学組成 (sccm) 酸化物 F CF4 30〜120 またはNF3 30〜120 ポリ Cl Cl2 30〜120
【0111】
【表11】 HP等方性酸化物エッチング 範 囲 パラメータ 1 2 3 上部電極電力(W) 500〜5000 500〜2500 500〜2500 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜500 0〜300 0〜300 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 mt 0.5〜20torr 0.5〜5torr ウェーハ温度(℃) 125 100 60〜75 気体化学組成 (sccm) エッチング F CF4 CF4 500〜2000 NF3 または NF3 500〜2000 SF6 C2F6
【0112】
【表12】 フォトレジストストリップ 範 囲 パラメータ 1 2 3 上部電極電力(W) 300〜5000 300〜2500 300〜2500 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 0〜1000 0〜1000 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 100mt〜50torr 500mt〜10torr 500mt〜5torr ウェーハ温度(℃) 300 250 100〜200 気体化学組成 (sccm) エチャント O O2,N2O O2,N2O 500〜2000 ドーパント F,N CH4,NF3 N2 0〜5000 SF6,C2F6 CF4 0〜500 NF3 0〜500
【0113】
【表13】 LPプラズマ窒化物付着 パラメータ 1 2 3 上部電極電力(W) 300〜5000 300〜2500 300〜2500 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 0〜600 0〜600 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 50 50 ウェーハ温度(℃) − 100〜500 200〜400 気体化学組成 (sccm) エチャント Si & N SiH4 30〜300 N2 100〜1000 NH3 0〜50
【0114】
【表14】 LPプラズマオキシ窒化物付着 パラメータ 1 2 3 上部電極電力(W) 300〜5000 300〜2500 500〜2500 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 0〜600 0〜600 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 50 50 ウェーハ温度(℃) − 100〜500 200〜400 気体化学組成 (sccm) Si SiH4 30〜300 N N2 100〜1000 O O2/N2O 100〜1000 ドーパント NH3 0〜50
【0115】
【表15】 LP酸化物付着 パラメータ 1 2 3 上部電極電力(W) 300〜5000 500〜2500 1000〜2000 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 200〜1000 200〜1000 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 1〜100 1〜30 ウェーハ温度(℃ 500 200〜400 300〜400 気体化学組成 (sccm) Si SiH4/TEOS SiH4 30〜100 O O2/N2O O2 30〜200 ドーパント イナート Ar Ar 400〜800
【0116】
【表16】 HP酸化物/オキシ窒化物の付着 パラメータ 1 2 3 上部電極電力(W) 300〜5000 300〜2500 500〜15 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 0〜300 0〜300 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 500mt〜50torr 1〜10torr ウェーハ温度(℃) − 100〜500 200〜400 気体化学組成 (sccm) 窒化物 Si SiH4 30〜100 N N2O 400〜5000 NH3 0〜30 オキシ窒化物 Si SiH4 30〜100 N N2 400〜5000 O N2O 400〜5000 O2 − NH3 0〜30
【0117】
【表17】 HP等角酸化物付着 パラメータ 1 2 3 上部電極電力(W) 300〜5000 300〜2500 500〜1500 上部電極周波数 (MHz) 50〜800 50〜400 50〜250 バイアス電力(W) 0〜1000 0〜1000 0〜1000 バイアス周波数 10KHz〜50MHz 100KHz〜30MHz 5〜15MHz 圧力(mt ) 500 500mt〜50torr 500mt〜10torr ウェーハ温度(℃) − 100〜500 200〜400 気体化学組成 (sccm) Si SiH4+N2O 30〜100+200〜3000 SiH4+N2O O TEOS+O2 30〜100+100〜1000 TEOS O2 TEOS+N2O 30〜100+100〜1000 TEOS N2O 以上、本装置およびプロセスの好ましい実施例および変
化例を説明してきたが、当業者は、ここで説明した方法
および装置を、請求の範囲内で容易に適合、変更および
拡張するであろう。
【図面の簡単な説明】
【図1】本発明によるRF反応装置システムの略図であ
る。
【図2】他の電極構成を有するシステムの略図である。
【図3】他の電極構成を有するシステムの略図である。
【図4】他の電極構成を有するシステムの略図である。
【図5】好適な電力制御システムのブロック図である。
【図6】代表的な集積回路のバイアホールを示す。
【図7】本発明による拡大化工程を行った後の図6のバ
イアホールを示す。
【図8】種々の磁気強化場を示す。
【図9】図1の好適な差動的に駆動される無接地の平衡
型スプリット電極構成のための好適な整合回路網の電気
略図である。
【図10】図9の等化物の略図である。
【図11】図9および図10の回路網のためのモータ制
御回路の、単純化された略図である。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/3065 H01L 21/31 C 21/31 9216−2G H05H 1/46 B H05H 1/46 H01L 21/285 S // H01L 21/285 21/302 B

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】真空処理チャンバを画定するエンクロージ
    ャー、および高周波交流電気エネルギーと相対的に低い
    周波数の交流電気的エネルギーを有する、工作物を処理
    するためのシステムであって、 前記チャンバにプロセス・ガスを導入する入り口と、 前記ガスからプラズマを生成させるために、前記チャン
    バに交流電気エネルギーを結合させるための電極と、 前記プラズマのイオン密度を制御するために、前記電極
    に前記高周波交流電気エネルギーを加えるためのコネク
    ターと、 前記電極に関連するシース電圧を制御し、プラズマイオ
    ンエネルギーを制御するために、前記電極に前記相対的
    に低い周波数の交流電気的エネルギーを加えるためのコ
    ネクター、 を有することを特徴とするシステム。
  2. 【請求項2】前記電極は、前記チャンバ内に位置し、工
    作物を支持するために適合した単一の電極構造を有し、
    且つ前記電極に交流電気エネルギーを加えるためのコネ
    クターは、前記単一の電極構造に接続されていることを
    特徴とする請求項1に記載のシステム。
  3. 【請求項3】前記電極は、前記チャンバ内に位置し、そ
    の上に工作物を支持するための第1の電極構造と、プラ
    ズマ・チャンバの周囲を囲む第2の電極構造とを有し、 前記高周波交流電気エネルギーを加えるためのコネクタ
    ーは、前記第2の電極構造に接続され、 前記相対的に低い周波数の交流電気エネルギーを加える
    ためのコネクターは、前記工作物を支持する前記第1の
    電極構造に接続されていることを特徴とする請求項1に
    記載のシステム。
  4. 【請求項4】前記高周波交流電気エネルギーは、前記プ
    ラズマ・チャンバの周囲を囲む第2の電極構造のインピ
    ーダンスを高周波電源に整合させるための、誘導性イン
    ピーダンス、容量性インピーダンス及び導電性インピー
    ダンスのうちの一つを介して、前記プラズマ・チャンバ
    の周囲を囲む第2の電極構造に結合されていることを特
    徴とする請求項3に記載のシステム。
  5. 【請求項5】 プラズマ中で工作物を処理するシステム
    であって、 真空チャンバを画定するエンクロージャーと、 ガスを前記チャンバに導入する入り口と、 前記ガス中にプラズマを生成するために、前記チャンバ
    に交流電気エネルギーを結合するための2つの電極構造
    を有する電極と、 前記プラズマの密度を制御するために、前記電極に、5
    0MHz 〜800MHz の範囲内の周波数を有する高周
    波交流電気エネルギーを加えるためのコネクターと、 前記電極に関連するシース電圧とプラズマ・イオン・エ
    ネルギーとを制御するために、前記電極に、0.1MHz
    〜50MHz の範囲内の周波数を有する相対的に低い周
    波数の交流電気エネルギーを加えるためのコネクター、 を有することを特徴とするシステム。
  6. 【請求項6】真空処理チャンバを画定するエンクロージ
    ャーにおいて、ガスから生成されたプラズマの中で工作
    物を処理するシステムであって、 前記チャンバ内に工作物を支持する手段と、 前記工作物への損傷を防止するために前記工作物を支持
    する手段から離間した前記チャンバの選択された領域に
    プラズマ誘起電場を生じさせるために、高周波交流電気
    エネルギーを前記チャンバに容量的に結合するための電
    極と、 選択されたプラズマ密度とプラズマ・イオン電流密度と
    を与えるために、高周波交流電気エネルギー源の電力を
    制御するための、前記電極に接続された電源、を有する
    ことを特徴とするシステム。
  7. 【請求項7】前記工作物を支持する手段は、電極であ
    り、更に、電極におけるプラズマ・シース電圧と関連す
    るプラズマ・イオン・エネルギーを制御するために、前
    記電極に、前記高周波交流電気エネルギーより低い周波
    数を有する選択された交流電気エネルギーを加えるため
    のコネクターを更に有することを特徴とする請求項6に
    記載のシステム。
  8. 【請求項8】プラズマを生成する方法であって、 真空チャンバを画定するエンクロージャー内の第1の電
    極構造上に半導体基板を支持するステップと、 前記真空チャンバに反応ガスを供給するステップと、 前記半導体基板上に1種類以上の材料を作るための前記
    反応ガスからプラズマを生成するために、高周波交流電
    気エネルギーを前記チャンバに容量的に結合する前記真
    空チャンバを囲む第2の電極構造を使用するステップ
    と、 プラズマ密度を制御するために、前記高周波交流電気エ
    ネルギーの電力を制御するステップを有することを特徴
    とする方法。
  9. 【請求項9】更に、相対的に低い周波数の交流電気エネ
    ルギーを前記第1の電極構造に加えるステップと、前記
    第1の電極構造におけるシース電圧を制御するために、
    前記相対的に低い周波数の交流電気エネルギーの電力を
    制御するステップを含むことを特徴とする請求項8に記
    載の方法。
JP4005826A 1991-01-18 1992-01-16 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法 Expired - Fee Related JP2543642B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64400491A 1991-01-18 1991-01-18
US07/644004 1991-01-18

Publications (2)

Publication Number Publication Date
JPH04346829A JPH04346829A (ja) 1992-12-02
JP2543642B2 true JP2543642B2 (ja) 1996-10-16

Family

ID=24583047

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4005826A Expired - Fee Related JP2543642B2 (ja) 1991-01-18 1992-01-16 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法

Country Status (3)

Country Link
EP (2) EP0495524A1 (ja)
JP (1) JP2543642B2 (ja)
KR (1) KR920015968A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140108314A (ko) * 2005-02-03 2014-09-05 어플라이드 머티어리얼스, 인코포레이티드 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2581255B2 (ja) * 1990-04-02 1997-02-12 富士電機株式会社 プラズマ処理方法
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
EP0652585A1 (de) * 1993-11-02 1995-05-10 Leybold Aktiengesellschaft Verfahren und Vorrichtung zum Ätzen von dünnen Schichten, vorzugsweise von Indium-Zinn-Oxid-Schichten
US6252354B1 (en) * 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
TW335511B (en) * 1996-08-02 1998-07-01 Applied Materials Inc Stress control by fluorination of silica film
US5969397A (en) * 1996-11-26 1999-10-19 Texas Instruments Incorporated Low defect density composite dielectric
US5933759A (en) * 1996-12-31 1999-08-03 Intel Corporation Method of controlling etch bias with a fixed lithography pattern for sub-micron critical dimension shallow trench applications
US6189484B1 (en) 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
KR100292411B1 (ko) * 1998-09-25 2001-06-01 윤종용 반도체소자의 제조에 사용되는 플라즈마 장비
JP4286404B2 (ja) * 1999-10-15 2009-07-01 東京エレクトロン株式会社 整合器およびプラズマ処理装置
TW506012B (en) * 2000-06-02 2002-10-11 Tokyo Electron Ltd Apparatus and method for improving electron acceleration
AU2002367178A1 (en) * 2001-12-27 2003-07-15 Kabushiki Kaisha Toshiba Etching method and plasma etching device
US20090229756A1 (en) * 2005-09-22 2009-09-17 Sekisui Chemical Co., Ltd. Plasma processing apparatus
US7879731B2 (en) * 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
KR102578766B1 (ko) * 2015-09-24 2023-09-15 삼성전자주식회사 이온 빔 에칭 장치
CN105862005A (zh) * 2016-06-22 2016-08-17 重庆科技学院 等离子体增强磁控溅射系统及方法
CN106637109B (zh) * 2016-10-18 2017-11-03 重庆科技学院 磁极辅助非平衡磁控溅射装置
WO2023156117A1 (en) * 2022-02-18 2023-08-24 Evatec Ag Vacuum layer deposition apparatus and method of depositing a layer on a substrate, especially on a substrate comprising indentations in the surface to be coated

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140108314A (ko) * 2005-02-03 2014-09-05 어플라이드 머티어리얼스, 인코포레이티드 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치
KR101951741B1 (ko) * 2005-02-03 2019-02-25 어플라이드 머티어리얼스, 인코포레이티드 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치

Also Published As

Publication number Publication date
EP0715335A1 (en) 1996-06-05
EP0495524A1 (en) 1992-07-22
KR920015968A (ko) 1992-08-27
JPH04346829A (ja) 1992-12-02

Similar Documents

Publication Publication Date Title
US5707486A (en) Plasma reactor using UHF/VHF and RF triode source, and process
JP2519364B2 (ja) Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
JP2543642B2 (ja) 高周波交流電気エネルギ―と相対的に低い周波数の交流電気的エネルギ―を有する、工作物を処理するためのシステムおよび方法
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US6545420B1 (en) Plasma reactor using inductive RF coupling, and processes
US6518195B1 (en) Plasma reactor using inductive RF coupling, and processes
JP2635267B2 (ja) Rfプラズマ処理装置
US6251792B1 (en) Plasma etch processes
US5556501A (en) Silicon scavenger in an inductively coupled RF plasma reactor
US6488807B1 (en) Magnetic confinement in a plasma reactor having an RF bias electrode
US6068784A (en) Process used in an RF coupled plasma reactor
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
US5429070A (en) High density plasma deposition and etching apparatus
US5210466A (en) VHF/UHF reactor system
US20020004309A1 (en) Processes used in an inductively coupled plasma reactor
KR100342014B1 (ko) 플라즈마처리장치
US5421891A (en) High density plasma deposition and etching apparatus
US7256134B2 (en) Selective etching of carbon-doped low-k dielectrics
US5300460A (en) UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
US8664125B2 (en) Highly selective spacer etch process with reduced sidewall spacer slimming
JPH09232292A (ja) 半導体ウェーハ製造用プラズマ処理装置
US7229930B2 (en) Selective etching of low-k dielectrics

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19960610

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070725

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080725

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080725

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090725

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees