JPH02298024A - リアクティブイオンエッチング装置 - Google Patents

リアクティブイオンエッチング装置

Info

Publication number
JPH02298024A
JPH02298024A JP1119651A JP11965189A JPH02298024A JP H02298024 A JPH02298024 A JP H02298024A JP 1119651 A JP1119651 A JP 1119651A JP 11965189 A JP11965189 A JP 11965189A JP H02298024 A JPH02298024 A JP H02298024A
Authority
JP
Japan
Prior art keywords
frequency
electrode
susceptor
substrate
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1119651A
Other languages
English (en)
Inventor
Tadahiro Omi
忠弘 大見
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to JP1119651A priority Critical patent/JPH02298024A/ja
Priority to PCT/JP1990/000585 priority patent/WO1990013909A1/ja
Priority to US07/612,192 priority patent/US5272417A/en
Publication of JPH02298024A publication Critical patent/JPH02298024A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野コ 本発明はリアクティブイオンエッチング装置に係る。
[従来の技術] 現在、集積回路の各種薄膜(たとえば、Afl。
W,Ta等の導電性薄膜、polys i 、 S i
等の半導体薄膜あるいは5102 、S13 N4。
、12 03等の絶縁薄膜)を、異方性をもたせてエツ
チングするための手段としてスパッタエツチング技術が
用いられる。スパッタエツチング技術の中でもホトレジ
ストとの選択性を高めたものどしてはR I E (R
eactive Ion Etching)法が広く用
いられている。RIE法とは真空容器内に励起活性種を
生成する、たとえばCF4等のガス(以下励起活性種源
ガスという)を導入し、基体を取り付けたサセプタに直
流または高周波電力を加えてグロー放電を起こし、プラ
ズマを発生させ、プラズマ中に生成したイオンと励起活
性種とを同時に被エツチング面に作用せしめ、物理的か
つ化学的にエツチングを行なう方法であり、この方法に
よればホトレジストとの選択比を大きく保ちながら異方
性エツチングを実現できる。グロー放電の結果、基体表
面はプラズマに対し負にバイアス(これを自己バイアス
と呼ぶ)されるが、このバイアス電圧によって加速され
たイオンが基体表面にぶつかって基体表面に存在する励
起活性種との作用により基体の表面をエツチングする。
第5図に従来用いられている代表的なイオンエツチング
装置の断面構造の模式図を示す。
503は被エツチング面を有するたとえば半導体ウェー
ハあるいはガラスや石英などの基体、504はサセプタ
の電極である。サセプタ電極504には整合回路を介し
て高周波電力が供給されており、真空容器505はアー
スされている。
ここで高周波電源(RF電源)は、発振周波数13.5
6MHzのものを用いるのが普通である。なお、実際の
装置では、以上に述べた以外に、真空用の排気ユニット
やガスの導入口、その他ウェーへの出し入れのための機
構が設けられているが本図では簡単のため省略しである
。また、サセプタ電極の上方に対向平板電極を設けた構
造の場合も多い。
半導体ウェー八等の基体503及びサセプタ504表面
は、サセプタに加えられたRF電力のためにプラズマに
対し負の自己バイアスh<かかり、この電界で加速され
たイオンが基体表面に作用し基体の被エツチング面がエ
ツチングされる。
[発明が解決しようとする課題] 一般に、エツチング速度を高める場合には、高周波電力
を大きくすることによりプラズマ密度を高める必要があ
る。
しかし、従来の装置においては、高周波電力を大きくす
ると、自己バイアスも大きくなり、それがために、基板
には、この大きな自己バイアスによって加速された大き
なエネルギーを有するイオンが照射される。その結果、 ■レジストのエツチングをも行フてしまうことがあり、
パターン寸法の変化をもたらし、その結果、微細加工が
不可能となってしまう。特に、Iノジストの厚さが、0
.5μm以下となっている近時の高集積化素子において
かかる現象は顕著に表われる。
■エツチングすべき薄膜をオーバエッチしてしまい、こ
の薄膜下の下地材料(たとえばSl基板)に大きなエネ
ルギーをもったイオンが照射されてしまう。特に、下地
材料の原子結合力よりも大きなエネルギを有するイオン
が照射されると下地材料にダメージを与え、かかかる材
料により構成された素子の性能及び信頼性の低下をまね
いてしまう。
また、従来の装置では高周波電源の周波数として13.
58MHzのものを使用しているが、13.56M)l
zという低い周波数で放電を行った場合におけるイオン
エネルギは第3図に示すように幅広い分布を有しており
、平均エネルギより十分大きなエネルギを有するイオン
が多数存在している。したがって、入射イオンの平均エ
ネルギをたとえば下地材料の原子結合力よりも小さくし
たとしても、下地材料の原子結合力よりも大きなイオン
エネルギを有するイオンも入射されてしまい、結局上記
■ないし■で生じた現象が生じてしまう。また、第3図
で縦軸の電流が0になる電圧が自己バイアスであり、励
起用波数が高くなるにつれて自己バイアスは小さくなる
LSIの超微細化・超高集積化が進むと、コンタクトホ
ールのアスペクト比は次第に太き(なって行く。すなわ
ち、細くて深い穴を制御性よくかつ再現性よくエツチン
グすることが要求される。
エツチング室のガス圧力を低くして、分子の平均自由行
程を長くすることが必要である。たとえば、10″″’
Torr圧である。ガス圧力が低くなった状態でも、十
分に高濃度のプラズマを生成するためには、放電励起の
周波数は高い程望ましい。ただし、サセプタ電極の直径
より、励起高周波の波長が短くなることは望ましくない
。高次モードの放電が起って、均一な工・ツチング性能
が得られないからである。
すなわち、従来の装置においては、プラズマ密度、照射
イオンエネルギをそれぞれ単独かつ直接的に制御するこ
とが、でき、ず、励起活性種源・ガスの圧力、流量、高
周波電力等の条件を適宜組合せて間接的に制御せざるを
得ない。
しかし、制御すべき因子が多数ある中でその最適の条件
を見い出すこと凍困難であり、特に集積度が上り、最小
線幅が0.5μm以下ともなると上記最適条件を見い出
すことは不可能に近くなる。
上記問題点は本発明者によって見い出されたものであり
、本発明者は、従来の装置に生ずる上記問題点を解決す
べく鋭意研究を行ない、その解決手段を見い出すにいた
った。
本発明は、下地材料にダメージを与えず高い信頼性を維
持しつつ高速で微細なパターンのエツチングを正確に行
うことが可能なリアクティブイオンエッチング装置を提
供することを目的とする。
[課題を解決するための手段] 本発明の要旨は、減圧状態になされた雰囲気中において
、プラズマを発生させることにより基体表面あるいは基
体表面に形成された薄膜をエツチングさせる装置におい
て、表面に保護層を有する電極と、基体を装置内にて保
持するサセプタと、′s1の周波数を有する高周波電力
を該保護層を有する電極に供給するための手段と、第1
の周波数より小さな第2の周波数を有する高周波電力を
該サセプタに供給するための手段とを少なくとも設けた
ことを特徴とするリアクティブイオンエッチング装置に
存在する。
[実施例] 以下図面を用いて本発明の詳細な説明する。
なお、当然のことではあるが、本発明の範囲は以下の実
施例により限定されるものではない。
第1図(a)は本発明の第1の実IM例である薄膜をエ
ツチングするためのエツチング装置を示す模式図である
。ここでは、半導体基板上に形成された薄膜をエツチン
グする場合について説明する。
107は電極であり、この電極107は、導電性材料か
らなる母材102と母材102の表面に形成された保護
層101とにより構成されている。保護層101は放電
により生じたプラズマにより母材102がエツチングさ
れることを防止するための層であり、たとえば、S1%
S i O,、石英、SICその他の材料から構成すれ
ばよい。
また、略化学量論比を満足するフッ化物よりなる不動態
膜により構成してもよい、この不動態膜は、優れた耐エ
ツチング特性を示し、その不動態膜の形成はたとえば次
のように行えばよい。すなわち、母材(たとえばステン
レス、ニッケル、二ケッル合金、アルミニウム合金その
他の金属あるいは合金よりなる母材)を、高純度不活性
雰囲気中においてベーキングし、ベーキング後高純度フ
ッ素にてフッ化処理し、フッ化処理後高純度不活性雰囲
気中において熱処理を行うことにより母材上に形成する
ことができる。
なお、保護層101をSiにより構成しておけば、保護
層101がエツチングされても基板にはSlが混入する
こととなるので、基板に与える影響を最小限にすること
ができる。
サセプタ104には整合回路を介して第2の周波数の高
周波電力が加えられている。本例では100MHzの高
周波電力を加えた例を示している。また、電極107に
は整合回路を介して、サセプタ104に加えられている
高周波より大きな周波数(第1の周波数)が加えられて
いる。本例では250MHzの高周波電力が加えられた
例を示している。周波数fl、fzは整数位の関係にな
いことが望ましい。
さらに、電極107およびサセプタ電極104にはそれ
ぞれ第1の周波数(本例では210MHz)、第2の周
波数(本例では100MHz)の高周波のみがそれぞれ
入力されるようにバンドエリミネーター(Band E
llninator)102°、104°が設けられて
いる。すなわち、周波数flはサセプタ電極104にお
いてはアースに短絡されており、周波数f2は電極10
2においてアースに短絡されている。電極107に用い
られるバンドエリミネータ−は基本的にはたとえば第1
図(b)に示したように102bの構成をとればよい。
L、Cの並列回路はf l= < 1 / 2π匹璽=
でm−)の共振周波数でインピーダンスが最大となり(
第1図(C))、それ以外の周波数に対しては、はとん
ど短絡となるため、所定の周波数(この場合はf、−2
10MHz)の高周波のみ選択して電極に供給すること
ができる。
ここに示した東1図(b)の構成はあくまでも基本的な
原理を示すものであり種々の改善のための変更を加えて
もよいことはいうまでもない。
例えば、第1図(d)は改善の一例である。
102bの回路は直流的には接地となっているが、これ
を直流的に浮遊状態(floating )としたい場
合には例えば第1図(d)の102dのようにコンデン
サC,を付加し、直流バスをカットすればよい。この場
合、回路の共振周波数がf、からずれないようにC3の
値は fl  =し+ >1/f+ c。
を満たすよう十分大きな値とする必要がある。
この場合、fo−(1/2πr口了−で])の周波数に
対し、Ll 、C,の直列回路はインピーダンスがOと
なり、周波数f0の高周波に対し短絡となる。このfO
をサセプタ104に加えられる周波数f2−100MH
zに等しくとっておくと、電1107にjoOMHzの
高周波がのるのを有効に防止することができる。すなわ
ち、サセプタ104に入る高周波電力の電界が、サセプ
タ104から電極107に垂直に終端するようにしても
、電極107は周波数f2に対してはアースに短絡され
ているから、電極107の電圧が周波数f2で変動する
ことはない。
以上はバンドエリミネータ−102° について述べた
が、バンドエリミネータ−104′ についても同様な
構成とすれば、サセプタ104の電圧が、電極107に
供給されるftの周波数で変動することがない、エツチ
ングガスの放電は、周波数f、の高周波により行なわれ
る。イオン密度を濃くするために、flの電力を大きく
して行っても、サセプタ電極の電圧はいっさい影響を受
けない。
以上のような構成とすることにより、電極107、サセ
プタ104には、他方に供給させる高周波がのることを
有効に防止し、それぞれに供給させる高周波のみを供給
することができるので、自己バイアス等の制御、ひいて
はプラズマ密度、あるいは照射されるイオンエネルギの
制御を容易かつ正確に行うことが可能となる。
なお、電極10フ真面に設けられた円筒状磁石106に
より電極107の表面に略々平行な磁界が生じ、電子は
この磁界にまきついてサイクロトロン運動をする。10
7.104の電極間に垂直な高周波電界が存在すると、
このサイクロトロン運動する電子に有効にエネルギが与
えられ、高周波電力が有効に高密度プラズマを発生させ
る。したがって、本装置では、入力される高周波電力f
、、f2の電界が殆ど垂直に、それぞれサセプタ電極1
04、電極107に終端するように設計されている。
真空容器105はアースにつながれている。また、10
6はマグネトロン放電のための永久磁石である。実際に
は、強磁性体を用いた電磁石の方が好もしい。さらに装
置には真空容器を真空に引く排気ユニットや、ガスを導
入する機構、さらにクエへを出し入れする機構が設けら
れているが、ここには簡単のため省略しである。
本発明装置では、従来の装置とは異なり、サセプタ電極
104の他に電極107を設けであるため、電極107
には電力の大きな高周波電源を供給し、それにより高密
度のプラズマを発生させることができ、ひいては高速の
エツチングを行うことができる。ただ、電力の大きな高
周波電源を供給すると電極107における自己バイアス
も大きくなり電極をエツチングするおそれが生ずる。そ
こで、かかるエツチングを防止するために本発明では、
電極10フに供給する高周波電源の周波数(′s1の周
波数)を第2の周波数より大きくし自己バイアスを小さ
くする(周波数を大きくすると自己バイアスは小さくな
る)とともに、電極の表面には保護層を設けておく。
一方、サセプタ電極に生ずる自己バイアスは第2の周波
数を有する高周波電源の電力および周波数により制御す
ることができるので、被エツチング薄膜の材料、厚さ等
を勘案し、適宜高周波電源の電力、周波数を選択して、
サセプタに供給すればよい。
結局、本発明装置を用いれば、電極107により高密度
のプラズマを発生させるとともに、高密度のブラダ中の
イオンエネルギをサセプタ電極により所望の値に制御す
ることができるため、基板等へのダメージ防ぎつつ高速
のリアクティブイオンエッチングを行うことができるこ
ととなる。
次に、電極107およびサセプタ104に供給する高周
波電力の周波数の影響について述べる。
第2図は電極の電流電圧特性を測定するための装置の模
式図である。装置そのものは第1図に示したものと同じ
であるが、直流電源201、電流計202が例えば第1
図(b)に示したバンドニリミネータ−104bのよう
にサセプタに加わる高周波電源の周波数の所でだけイン
ピーダンスが高く、その周波数からずれた周波数に対し
てはほとんと短絡となる高周波フィルタ203を介して
1つの電極(この図の場合はサセプタ電極204)に接
続されている。直流電源201及び電流計202を高周
波時に短絡するために、並列にコンデンサ206が接続
されている。この状態で、例えばArガスを5xlO−
’Torrの圧力で導入し、50wの高周波電力で放電
を起し、電極に加える直流電圧Vとその結果流れる電流
の関係をとったものを第3図に示す。この場合、高周波
電源205の周波数は可変とし、たとえば14MHz、
40.68MHz及びIQOMHz(7)3つの周波数
に対してとった結果が図に示されている。また正電荷を
もったイオンが電極に流れ込む電流を正の値にとってい
る。
例えば、toOMHzの特性をみると、■が約−95v
(この値をvseど表す)のとき、■=0となり、v 
> v 、8テはI <O,V<Vsaでは1>Oとな
っている。このVSaは自己バイアスと呼ばれ、電極が
フローティング状態で高周波放電させたときに発生する
直流バイアス電圧である。
すなわち、電極がこの電位にあるときは、プラズマより
電極に流れ込むイオンと電子の数が相等しいため互いに
打ち消し合い電流が0となっているのである。外部より
加えた直流バイアスにより電極の電位をコントロールし
てやると電流が流れる。例えばv > v saとする
とより多くの電子が流れ込みI<Oとなる。
また、一方、V < V s aとすると電子に対する
ポテンシャルバリヤが高くなって電子の流入数が減少す
るためイオン電流の方が大きくなり正の電流が流れる。
ざらにVを負の方に大きくすると、V−V、で電流値は
飽和し、はぼ一定値となる。
これはイオンのみの電流値に等しい0以上の事実から考
えて、V>Voにおける■−v特性の傾きは電子のエネ
ルギ分布の巾に対応している。すなわち、傾きが大きい
ことは電子のエネルギの分布中が狭いことを意味してい
る0図から明らかなように14MHzにくらべ、100
MHzの場合はエネルギ分布が約1/10程度に小さく
なっている。一方、イオンのエネルギ分布巾をΔE1゜
1とし、電子のエネルギ分布の巾を△E、とじたとき両
者の間には略々比例関係があるので、イオンのエネルギ
分布の巾も同様に約1/1oに減少しているといえる。
さらに、■、の値も同じ50Wの高周波電力であるのに
14MHzの場合の一400Vに対し100MHzでは
約−95Vと絶対値で1/4以下に小さくなっている。
100MHz放電で電力を5Wまで下げると、V!lI
Sの値は、−25■に減少する。周波数も電力を制御す
ることにより、自己バイアスは広範囲に制御できるので
ある。
従来のRIE法では、下地基板に損傷が生じ、微細加工
を困難とし、デバイスの特性が劣化していたのは次の理
由による。すなわち、従来例では13.58MHzの周
波数で放電させていたため、lV*ub  l”400
V 〜6000Vとなり、この高電圧で加速されたイオ
ンが基板にぶつかっていた。さらにイオンのエネルギ分
布が大きく、たとえエネルギの平均値を制御しても平均
値より十分大きなエネルギをもったイオンが数多く存在
することになり、こうしたハイエネルギのイオンが大き
なイオン衝撃を基板に与えることになり、これが損傷の
生じる原因でありた。しかるに、本発明の第1実施例で
は、電極107には250MHzの高周波を用いて放電
を行っているため、従来の13.58MHzの場合にく
らべて△E tonは1/20以下と小さくすることが
できた。本発明装置では放電は電極107に加えられる
周波数f、の高周波電力により維持され、これにより高
密度のプラズマを発生させるとともに、供給する周波数
をサセプタに供給する周波数より大きな周波数(250
MHz)としているため、発生した高密度プラズマ中の
イオンエネルギの分布幅も小さく(平均エネルギからズ
レなイオンエネルギを有するイオンの数が少なく)なっ
ている。さらに、電極に平行な方向の磁界強度を可能な
限り強くなるように磁気回路が設計されているため(後
述)、SOW入力で自己バイアスは一30V以下であり
、プラズマ密度が略々10倍以上に改善されている。保
護層を有する電極材料を殆どスパッタしない。したがっ
て、サセプタに加える高周波電力の電力ないし周波数f
2を、自己バイアスが基板に損傷を与えない程度に小さ
く制御することがきわめて容易となり、かつ所望のエツ
チング速度が得られるようにflの電力を設定しておけ
ば平均エネルギーより大きなイオンエネルギを有するイ
オンが照射されることがなくなり、薄膜、レジスト膜あ
るいは下地基板への損傷を生ずることなく高速かつ選択
性の高いエツチングを行うことが可能となる。
すなわち、VSaは高周波電源の周波数が高くなるほど
また、高周波電力が小さくなるほど低くなる。したがっ
て、薄膜ないし下地基板の品質を損傷せずに、かつ、高
速エツチングに必要なイオンエネルギの照射量になるよ
うに周波数および電力をサセプタに与えるように選択す
ればよい。
また一方、電極102には250MHzが加えられてい
るため、小さな自己バイアスが生じており、また、保護
層が形成されているため電極がエツチングされることを
防止できる。さらに、第1図の実施例ではマグネット1
0Bが装着されており、電極近傍でマグネトロン放電(
電子が磁力線に巻きついてサイクロトロン運動しながら
高周波電界からエネルギをもらって中性の活性源ガス分
子を効率よくイオン課する)を起すことによりイオン濃
度を高めてさらにエツチング速度を大きくする構成とな
っている。
以上述べたように本発明による2周波励起リアクティブ
イオンエツチング装置によれば、大きなエツチング速度
を維持しつつ、基板に損傷を生じない高品質な薄膜のエ
ツチングが高選択比で可能となった。
また、第2図に示したようにサセプタに直流バイアスを
加えることによってサセプタに流入するイオンのエネル
ギをコントロールすることも可能である。この直流バイ
アスを印加する方法は、エツチングする薄膜や基板(基
体)が導電性材料である場合に有効である。
以上、電極およびサセプタに供給するRFの周波数をそ
れぞれt、−00MHzと250MHzの場合について
のみ述べたが、これに限る必要のないことは言うまでも
ない。要するに前者に対し後者を高くすればよいのであ
って、実際の値はそれぞれの目的に応じて必要なエツチ
ング速度や形成された膜の段差部での被覆形状等を考慮
して決めればよい。また、エツチングすべき材料も絶縁
物に限ることなく、当然導電性材料に対しても有効であ
る。
次に、これまで述べてきたような対向する平行平板電極
間にプラズマを作って行う、各種のプロセス(リアクテ
ィブイオンエツチング、スパッタリング成膜。プラズマ
CVD成膜)の高性能化の概念を説明する。
放電プラズマプロセス高性能化の必要条件は、(1)基
体表面にダメージ(損傷)を与えないこと、(2)チャ
ンバや電極材料のスパッタによる、基板表面への汚染が
ないことの2要件である。もちろん、そのほかにも高速
エツチング、高速成膜が行えること、できるだけ少ない
高周波電力で、できるだけ高密度のプラズマを実現する
こと等、具体的なエツチング、成膜高性能化の要求があ
ることはいうまでもない。
要件(1)、 (2)が実現されるためには、放電によ
り形成されるプラズマのプラズマ電位が、チャンバや電
極材料をスパッタしない程度の値、すなわち+30V以
下、望ましくは+20V以下であることが要求される。
チャンバは通常接地された状態で使用されるが、チャン
バ内表面に入射するイオンエネルギーは、プラズマ電位
程度のエネルギーになる。電極102やサセプタ電極1
04は、通常負電圧を印加するので、正電荷を持ワたイ
オンが入射するが、そのエネルギーはそれぞれ所要の目
的を持ったエネルギーに制御される。いずれにしても、
対向電極間に形成されるプラズマ電位が+5〜+20V
程度の範囲に抑え込まれていることが不可欠の条件にな
る。基板表面を照射する個々のイオンのエネルギーには
、エツチング、成膜の目的に応じて基板表面材料に対し
てそれぞれ最適値が存在する。個々のイオンエネルギー
をそれぞれの材料の最適値に調整するのは、サセプタ電
極に入いる周波数f2の高周波電力を調整して、サセプ
タ電極の自己バイアス−■。
(V)を、Vo、IIIV、 +V、となるようにすれ
ばよい。
ただし、VOW:イオンの最適照射電位、■、;プラズ
マ電位、−V、:サセブタ電極自己バイアスである。
対向電極間に形成されるプラズマの電位が、低い正電圧
に抑えられていなければ、こうした設計論は通用できな
い、すなわち、VP<v。、が成立していなければなら
ない。サセプタ電極に高周波電力を印加して実現される
自己バイアスは常に負電圧であるからである。したがっ
て、Vsp>V op> V 、が満足されるような、
低い正電圧にプラズマ電位VPを設定するのである。た
だし、VIPはチャンバや電極材料のスパッタ開始電圧
である。
プラズマ応用装置高性能化の要点が、プラズマ電位を低
い正電圧(V sp> V op>V p’ ) ニ設
定することによることが、以上より判明した。プラズマ
電位が正電圧で高くなる理由は、主としてプラズマ空間
から、買置がイオンにくらべて軽い負電荷を持った電子
が逃げてしまい、正電荷を持ったイオンが過剰になり、
プラズマが正電荷を持つことによっている。したがって
、プラズマ電位を正の低い電位に保つには、プラズマ空
間から電子ができるだけ逃げないようにすることが必要
条件となる。同時に高周波電力によりできるだけ有効に
放電・イオン化が起ることが重要である。こうした条件
を実現する直流磁場分布及び高周波電界分布について、
第6図を用いて説明する。′s6図(a)は、対向する
電極102.サセプタ電極104に対する直流磁界分布
601(点線)、高周波電界分布(実線)602を示す
。第6図(a)には、理想状態の一例が示されている。
すなわち、対向する極板に平行に直流磁界が存在し、極
板間に垂直に高周波電界が存在するのである。極板間に
存在する電子は、直流磁界に巻きついて円運動(サイク
ロトロン運動)する。円運動する電子の運動方向に高周
波電界が存在するから、電界から効率よく電子の運動へ
エネルギーが変換される。エネルギーを得た電子は、極
板間にサイクロトロン運動することによって閉じ込めら
れているから、中性の分子や原子と効率よ(衝突し、そ
の分子や原子をイオン化する。電極102、サセプタ電
極は高周波入力により、通常自己バイアスは負電圧とな
る。したがって、負電荷を持った電子は両電極に入射す
ることはない。
電子は両電極間に閉じ込められることになる。しかし、
両電極の平行な方向の間隙からは、電子は外部に流れ出
す。この横方向の電子の逃げを抑えるには、第6図(b
)のように直流磁界の強度を分布させればよい。すなわ
ち、直流磁界の強度は極板の中心から極板端部近傍まで
は一定磁界強度にしておいて、端部近傍で磁界強度を強
くしておくのである。この磁界強度が強くなった部分で
、電子は反射されて、一定磁界強度部分に閉じ込められ
るのである。
第6図に示された本発明の考え方を適用した装置の実施
例を、さらに第7図を■いて説明する。
番号が同じものは、第1図と同じものを示す。
電極間に放電を励起する高周波f1は、同軸コネクタ7
10を通して供給される。716は電極102まで高周
波電力を導く内導体であり、712はテーバ状になされ
た同軸テーブルの外場゛′ 体でありチャンバ105に
接続されている。第1図では、直流磁界は永久磁石10
6により供給されていたが、第7図では電磁石714.
フ15で供給されている。715は、電磁石を構成する
透磁率μ及び飽和磁率密度の高い磁性体、714は直流
電流を供給する電線である。電磁石は、内導体716と
サセプタ電$1102により完全に囲われているため、
高周波f、の電界や磁界にはまったくさらされない。サ
セプタ電極の自己バイアスを制御する高周波電力f2は
、同軸コネクタ711を通して供給される。717は同
軸テーブルの内導体、フ13は外導体である。LlとC
8の直列回路、し2と02の直列回路は、それぞれ高周
波fl+f2を短絡するための回路である。
708.709は、これら短絡回路を構成するための、
たとえばテフロン含浸絶縁物基板である。
内導体と外導体を短絡する回路は、円筒同軸構成に適合
するように、放射状に形成されている。第8図(a)、
(b)にその例を示す。中央に内導体を貫通するための
穴を設け、円板状になされたテフロン含浸絶縁物基板状
に短絡回路は形成されている。第8図の例では、4個の
直列共振回路が90度の角度で配置された例が示されて
いる。
801.803はインダクタンス、802゜804は積
層セラミックなどの高周波コンデンサである。斜線部は
絶縁物基板に残されたCu薄膜である0通常35〜70
um程度の厚さである。
絶縁物基板の厚さは、高周波電力にもよるが、1〜3m
m程度である。第8図(a)では、インダクタンスは直
線の線が有するインダクタンスが使われており、コンデ
ンサはチップコンデンサである。(b)では、インダク
タンスは電線を所要巻数のコイルが使われ、コンデンサ
は平板コンデンサである。
説明を第7図に戻す。高周波電力、特に電極間に放電を
形成するflの電力が、効率よく電極間に閉じ込められ
るために、電極102.サセプタ電極104は絶縁物の
セラミック706と707で、それぞれチャンバ105
から浮いた形で構成されている。電極間隔にくらべて、
電極からチャンバまでの距離は遠くなされている。電極
102に入射した高周波電力f、の電界が、殆ど電極1
04に終端するためにである。高周波f、の電流は、電
極104に終端した後、内導体717゜短絡回路(Li
 、 CI )、チャンバ105を通って外導体712
に流れ出す。
電極間隔は、ガス圧力にもよるが通常2〜10cm程度
である。電極面積は、基板103より大きくなされるか
ら、6インチ、8インチ、10インチクエバということ
になれば、少なくとも、その直径は20cm、25cm
、30cmより大きくなければならない。
第9図に、比較的実際の構造に近い例を示す。
高周波電界が殆ど対向する電極間に閉じ込められること
が分ろう、349図で、サセプタ電極104の高周波電
力f、に対する短絡が不十分な場合には、第10図に示
すように、サセプタ電極104から直接チャンバに、短
絡回路を設ければよい。
本発明の装置の要点は、対向する2枚の電極間に、可能
な限り強い磁界を設ける点にある。第9図、第10図の
ように、714と715で構成される電磁石だと、その
磁力線分布は第11図のように下方向に拡がった分布に
なる。第12図に示すように、両電極裏面にそれぞれ完
全反磁性を示す超伝導体あるいは超伝導薄膜731,7
32を設けると、磁力線はこの超伝導体の外周には漏れ
ないから、磁力線は両電極間に閉じ込められるようにな
る。基板を冷却しなければならないどきは、たとえば液
体窒素温度で超伝導を示す酸化物超伝導体を電極裏面に
1μm程度以上スパッタ成膜等でコーティングすること
で、きわめて大きな磁界閉じ込め効果を示す。その様子
を第13図に示す。
同じく、両電極間に磁界を閉じ込めて強い平行方向の磁
界を発生させるには、電極102側だけではなく、サセ
プタ電極104側にもまったく同様に電磁石(721,
723)を設ければよい。
その様子を第14図に示す、電磁石(715゜722)
、(721,723)はいずれも、高周波電力供給用の
内導体716,717で、実質的に囲われている。コイ
ル722,723に電流を供給する電線が内導体を貫通
して外部に引き出されていることは当然である。第14
図において、電極102,1041C超伝導体をコーテ
ィングすれば、さらによくなることはもちろんである、
第14図では、放電プラズマが形成されるチャンバは、
基本的にはセラミック706で構成されている。金属チ
ャンバ105は、アースと高周波電流を流す役割をはな
すことになる。このように構成すれば、第1.9.10
図の実施例の装置に見られた、電極102とチャンバ間
の放電がまったく無くなり、高周波電力は電極102,
104間に殆ど閉じ込められることになり、少ない高周
波電力で高密度のプラズマを電極間に形成することがで
きる。内導体に囲われる電磁石が永久磁石でよいことは
いうまでもない。永久磁石を構成する材料は通常比透磁
率が低い、4〜5以下である。したがって、第14図で
、コイル722,723を除去し、第15図に示すよう
にドーナツ状の完全反磁性超伝導体751.752をは
め込むとよい、このとき715.721は永久磁石であ
る。
以上、チャンバ材料のスパッタ汚染を完全に抑え、基板
にいっさい損傷を生じないリアクティブイオンエツチン
グ装置について説明した。
この時、チャンバ内に流されるガスは、エツチングされ
る材料によって、塩素系(Ca2゜S i Cf14.
 CH2CILz 、 CCf14等)、フッ素系(F
2 、CHI F2.CF4 、S i F4等)およ
び混合ガス系(CF2CJ2を等)であり、キャリアガ
スAr、He、添加ガスH2,02が加えられる。
本発明の装置は、リアクティブエツチングだけではなく
、プラズマCVD、スパッタリング成膜にも、一部の変
更でただちに使用できる。
スパッタリング成膜を行う時には、電極102に、たと
えば静電吸着でターゲット材料を設置し、高周波flの
周波数を13.56MHzとか40MHzとか、いわば
10〜100 M、)(zの間に設定する。ターゲット
をスパッタするに十分な自己バイアス電圧を生じさせる
ためである。サセプタ電極104側の基板バイアス制御
用の周波数f2をf、より高く設定する。たとえば、1
00〜250MHzにである。流すガスは、スパッタ成
膜直前の基板表面クリーニング時には、Ar+H2の混
合ガスを流す、基板に吸着している水分やカーボン汚染
などを除去するためである。スパッタ成膜時には、成膜
される薄膜が金属、シリコンなどの時にはAr、He等
の不活性ガスを、S +02 、Ta20@ 、T、+
02 、AfLz Osや酸化物超伝導体の時には、A
r+02をまた5isNn、Aj2Nなどの窒化物の時
には、Ar+H2ガスを流す、基板表面を照射するイオ
ンの量が十分であれば、基板加熱は不要である。
CVD成膜時には、放電が十分起って、基板表面に数e
vから数10avのイオン照射があればよいのであるか
ら、fl、f、の関係はリアクティブイオンエッチング
の時と同様になる。ただし、流すガスが、Sin、、S
i2 )1.等(S iF&1lll) 、S iH4
+Oa −S iHz CJ12+02.S t2 H
@ +02  (S i 02成膜)。
5iH4+NH,。S i H4+H2(S i s 
N4成l1l)、TMA、Aj2C112+)12 (
Aj2成膜)。
H2+WFS  (WJ*llIり 、T i Cf2
44H2(T i成膜) 、 T a C424+ H
2(T a成膜)等、CVD成膜に必要なガスに変えら
れる。
しかし、例えば、2.450)izのようなマクロ波を
用いたような場合には電磁波の波長が基板ウェーハ径に
くらべて小さくなるためエツチング量のバラツキの原因
となることがあるため好ましくない。
高周波放電に使う高周波電源の波長は少なくともウェー
八口径の2倍より大きいことが均一エッチングの立場か
ら要求される。望ましくは100MHz (波長3m)
 〜IGHz(波長30cm)程度である。
また、電極107裏面に設置した磁石10Bは第1図に
示した構成に限ることはない、たとえば第4図(1)の
本発明の第2の実施例に示したように強力な競争路形磁
石409を設置し均一性を上げるために走査を行っても
よい、この場合、例えば第4図(a)に示したように走
査系410を真空容器405の外に出しておけば反応系
が機械的な動作から生じる発しんにより汚染されること
が防げて好都合である。
さらに、ウェハサセプタ側にも磁石を設置してリアクテ
ィブイオンエツチングの効率を上げてもよい、またここ
で使う磁石は、第1図106のように静止して取り付け
られていてもよいし、第4図(a)に示す410のよう
に移動できるものであってもかまわない。
また基板への損傷をさらに小さくするため例えば次のよ
うな方法をとることも可能である。例えば、露出してい
る基板表面に形成された5fO2などの絶縁膜をエツチ
ングさせる場合、まず数μm程度の膜が形成されている
間はシリコン基板に供給するRF電力を大きくして高速
でエツチングし、基板表面が露出し始めた後は、RF電
力を小さく切りかえる方式である。こうすれば基板が露
出し始めてからは低速でエツチングを行えるため基板表
面への損傷をほとんど0とすることが可能である。
基板表面に照射するイオンの運動エネルギが大きくなり
すぎればどんな材料でも損傷を生じる。
材料に損傷が生じ始めるのは、各材料の原子間結合力よ
り照射イオンの運動エネルギがやや大きくなったときで
ある。この原子間結合力は、通常絶縁物の方が半導体よ
り大きい。基板材料、絶縁材料の性質を考慮した上で照
射イオンのエネルギを決めればよい。
第4図(b)は本発明の第3図実施例を示すもので基板
への損傷を小さく抑えつ、つ、且つ基板表面に照射する
イオンのエネルギを自由に選択できる方法を示している
。第1図(a)の第1の実施例と比較してかわっている
点は、サセプタに対し、f2.f、という2つの異る周
波数を切り換えて入力できるようになっている点であり
、それに応じてバンドエリミネータ−401も変換しで
ある。402及び403はLCの共振回路であり、それ
ぞれf2゜fsの共振周波数をもっている。
f2−17(2πF丁で7) f、−1/(2π【7で7) 2つの共振回路402,403を直列に接続したバンド
エリミネータ−401はfx、fsの2つの周波数に対
してのみインピーダンスが大きくなり、これ以外の周波
数に対しては短絡となっているため、これら2種類の高
周波のみ選択的にサセプタに供給する機能をもっている
例えば、f、は250MHzとし、f、1l11100
MHz%?、−40MHzとする。モして′、例えばま
ず最初の数0.5〜,1μm程度の膜が形成されている
間は、サセプタ104に加える高周波の周波数をf、(
40MHz)とすると、自己バイアスは50〜250V
と大きくなり、大きなエツチング効果が得られる。表面
が100人程度になった時点で周波数をf、(100M
Hz)に切り換えて薄い膜(例えば10人〜100人)
をエツチングする。このようにすれば基板表面が露出し
始めたときは!OOMHzに対応する小さな自己バイア
ス値(約10〜20■)で基板表面をイオンが照射する
ため基板へのダメージはほとんど生じない。
このような方法は、RIE法により堆積した薄膜の表面
形状の平坦度をコントロールする場合特に重要になって
くる。なぜなら周波数を変化させることにより最も有効
なエツチング用のイオンのエネルギをコントロールでき
、最適のエネルギ値を基板へのダメージの心配をしない
で選べるからである。
ここではf2.f3の2つの異る周波数の場合について
のみ述べたが、例えばf2.fs、f4という3つの値
を用いてもよいことはいうまでもない。ただし、この場
合、最初に印加する周波数f4はF4<F2.fsとし
て、後になるほど最も高周波のものを用いダメージを小
さくすることが重要である。また複数の周波数を用いる
場合、これらは放電励起用の周波数?、も含め、fl+
f2.F3.  ・・・・は互いに高調波の関係にない
ように選ぶのが望ましい、放電空間は非線型であり、従
ってf、、F2.F3.  ・・・・の高調波が放電条
件によっては全く違った形でのってしまうことがあり条
件の設定が不正確になるからである。
なお、第4図(b)における402,403の回路に替
え第4図(C)に示す回路を用いても同種の作用をもた
らすことができる。ただ、第4図(c)においては C3I)C2,C3 とする。
以上本発明の実施例は主として5i02やSi膜のエツ
チングについて述べてきたが、これに限る必要はもちろ
んない。例えば、PSG膜、B P S G@、ASG
膜、シリコン窒化膜、Al2Om膜、AuN膜、Al1
.W、Mo。
Ta、Tiあるいはこれらの合金等よりなる膜及び基板
のエツチングに用いてもよい。
また、励起活性種源ガスは被エツチング薄膜の種類によ
り適宜選択すればよい。たとえば、poly−5t薄膜
の場合はCCj24.CCJ22 F2 。
Cft2等、5tfii@の場合はCCj22F2゜C
F 4等、5io2薄膜の場合はCF4/H,。
C2ra等、Aj2薄膜の場合はCC,、I24゜S 
i Cj24 、BCj2s等、MO薄膜、W薄膜、T
i薄膜、Taf1@等の場合にはCF4等を適宜用いれ
ばよい。
また、これらが形成されている基板も、絶縁性の基板で
もよいし、導電性の基板、半導体基板でもよい。
また0例えばポリイミド膜やレジストなどの高分子材料
のエツチングに対しても必要に応じて用いてもよいこと
はいうまでもない。
また、エツチングを行なう基板も半導体ウェー八に限ら
ないことはいうまでもない。
また、リアクタイブイオンエツチング以外のスパッタエ
ツチングにも利用できる。
[発明の効果] °本発明によれば基板への損傷を生じることなく、高品
質で表面平坦度の優れたエツチングを行うことができる
【図面の簡単な説明】
第1図(a)〜(d)は本発明の第1実施例を示す装置
の模式図及び説明図である。第2図は電極の電流電圧特
性を測定するための装置を示す模式図である。第3図は
電極の電流電圧特性の実験データを示すグラフである。 第4図(a)〜(C)はそれぞれ本発明の第2実施例及
び第3実施例を示す模式図である。第5図は従来例を示
す模式図である。第6図は平行平板電極構造と高周波電
界及び直流磁界の分布図である。第7図は本発明の第4
実施例の装置図である。第8図は短絡回路の例である。 第9図、第10図は本発明の実施例を示す断面図である
。第11図は磁界分布(磁力線)図である。第12図は
本発明の実施例を示す断面図であるゆ第13図は電極裏
面に超伝導薄膜が設けられたときの磁力線分布図である
。 第14図、第15図は本発明の実施例を示す断面図であ
る。 toi・・・保護層 102・・・電極(母材) 104・・・電極 102°、104’ 、104b。 104d、401・・・バンドエリミネータ−102b
・・・回路 103・・・基板 104・・・サセプタ電極 105・・・チャンバ 106・・・永久磁石(マグネット) 107・・・電極 201・・・直流電源 202・・・電流計 203・・・高周波フィルタ 204・・・サセプタ電極 206・・・コンデンサ 205・・・高周波電源 401・・・バンドエリミネータ− 402,403・・・LCの共振回路 405・・・真空容器 409・・・競争路形磁石 410・・・走査系 503・・・半導体ウェー八等の基体 504・・・サセプタの電極 505・・・真空容器 601・・・直流磁界分布(点線) 602・・・高周波電界分布(実線) 706.707・・・セラミック 708.709−・・テフロン含浸絶縁物基板710・
・・同軸コネクタ 711・・・同軸コネクタ 712・・・外導体 713・・・外導体磁石 714.715.フ22゜ 721.723・・・電磁石(永久磁石)716.71
7・・・内導体 722.723・・・コイル 731.732・・・超伝導薄膜 751.752・・・完全反磁性超伝導体801.80
3・・・インダクタンス 802.804・・・高周波コンデンサ第1図(a) 第1図 (C) 第1図(d) 第2図 第5図 第6図 (a) (b) r 第7図  f1 第8図 (a)  理路回路 1 (b)  短緒回路 2 第9図 第11図 第12図

Claims (2)

    【特許請求の範囲】
  1. (1)減圧状態になされた雰囲気中において、プラズマ
    を発生させることにより基体表面あるいは基体表面に形
    成された薄膜をエッチングさせる装置において、表面に
    保護層を有する電極と、基体を装置内にて保持するサセ
    プタと、第1の周波数を有する高周波電力を該電極に供
    給するための手段と、第1の周波数より小さな第2の周
    波数を有する高周波電力を該サセプタに供給するための
    手段とを少なくとも設けたことを特徴とするリアクティ
    ブイオンエッチング装置。
  2. (2)前記第2の周波数が100MHz以上であること
    を特徴とする前記特許請求の範囲第1項記載のリアクテ
    ィブイオンエッチング装置。
JP1119651A 1989-05-12 1989-05-12 リアクティブイオンエッチング装置 Pending JPH02298024A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP1119651A JPH02298024A (ja) 1989-05-12 1989-05-12 リアクティブイオンエッチング装置
PCT/JP1990/000585 WO1990013909A1 (en) 1989-05-12 1990-05-08 Reactive ion etching apparatus
US07/612,192 US5272417A (en) 1989-05-12 1990-11-13 Device for plasma process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1119651A JPH02298024A (ja) 1989-05-12 1989-05-12 リアクティブイオンエッチング装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2209016A Division JP2774367B2 (ja) 1990-08-07 1990-08-07 プラズマプロセス用装置および方法

Publications (1)

Publication Number Publication Date
JPH02298024A true JPH02298024A (ja) 1990-12-10

Family

ID=14766718

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1119651A Pending JPH02298024A (ja) 1989-05-12 1989-05-12 リアクティブイオンエッチング装置

Country Status (3)

Country Link
US (1) US5272417A (ja)
JP (1) JPH02298024A (ja)
WO (1) WO1990013909A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03124024A (ja) * 1989-10-06 1991-05-27 Sharp Corp 半導体装置の製造方法
JPH03171623A (ja) * 1989-10-03 1991-07-25 Applied Materials Inc 半導体ウェーハ製造用プラズマ処理方法
JPH04346829A (ja) * 1991-01-18 1992-12-02 Applied Materials Inc 高周波交流電気エネルギーと相対的に低い周波数の交流電気的エネルギーを有する、工作物を処理するためのシステムおよび方法
JPH06151367A (ja) * 1990-07-31 1994-05-31 Applied Materials Inc 半導体ウェーハ上の集積回路構造体形成用vhf/uhfプラズマ処理法
JP2005045231A (ja) * 1994-11-15 2005-02-17 Mattson Technology Inc 誘導性プラズマリアクター
JP2008041795A (ja) * 2006-08-03 2008-02-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2008535318A (ja) * 2005-03-25 2008-08-28 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド Rf電力供給における2次周波数の終端
JP2008252067A (ja) * 2007-01-30 2008-10-16 Applied Materials Inc 複数のvhf源を用いるイオン分布均一性制御器を備えたプラズマリアクタ

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US5688330A (en) * 1992-05-13 1997-11-18 Ohmi; Tadahiro Process apparatus
JP3122228B2 (ja) * 1992-05-13 2001-01-09 忠弘 大見 プロセス装置
JPH0613196A (ja) * 1992-06-25 1994-01-21 Matsushita Electric Ind Co Ltd プラズマ発生方法および発生装置
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5686050A (en) * 1992-10-09 1997-11-11 The University Of Tennessee Research Corporation Method and apparatus for the electrostatic charging of a web or film
WO2004083486A1 (ja) * 1993-03-23 2004-09-30 Atsushi Yamagami 超短波を用いたプラズマcvd法及び該プラズマcvd装置
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
US5414324A (en) * 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JPH07201818A (ja) * 1993-12-28 1995-08-04 Matsushita Electric Ind Co Ltd ドライエッチング装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3372647B2 (ja) * 1994-04-18 2003-02-04 キヤノン株式会社 プラズマ処理装置
US6391147B2 (en) 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
EP0760526A4 (en) * 1994-05-17 2001-01-10 Hitachi Ltd PLASMA TREATMENT DEVICE AND METHOD
JPH08232070A (ja) * 1994-12-26 1996-09-10 Canon Inc 堆積膜形成装置及びそれに用いられる電極
JP3360461B2 (ja) * 1995-01-31 2002-12-24 ソニー株式会社 メタル成膜工程の前処理方法
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5955174A (en) * 1995-03-28 1999-09-21 The University Of Tennessee Research Corporation Composite of pleated and nonwoven webs
US5696428A (en) * 1995-06-07 1997-12-09 Lsi Logic Corporation Apparatus and method using optical energy for specifying and quantitatively controlling chemically-reactive components of semiconductor processing plasma etching gas
US5656123A (en) * 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
EP0801809A2 (en) 1995-06-19 1997-10-22 The University Of Tennessee Research Corporation Discharge methods and electrodes for generating plasmas at one atmosphere of pressure, and materials treated therewith
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
KR100205098B1 (ko) * 1996-04-25 1999-06-15 김영환 플라즈마 식각 장치
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US6048435A (en) * 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6112695A (en) 1996-10-08 2000-09-05 Nano Scale Surface Systems, Inc. Apparatus for plasma deposition of a thin film onto the interior surface of a container
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5861086A (en) * 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
US6303045B1 (en) * 1997-03-20 2001-10-16 Lam Research Corporation Methods and apparatus for etching a nitride layer in a variable-gap plasma processing chamber
US6167837B1 (en) 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6352593B1 (en) 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6352594B2 (en) 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3141827B2 (ja) * 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6136388A (en) * 1997-12-01 2000-10-24 Applied Materials, Inc. Substrate processing chamber with tunable impedance
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
TW434735B (en) * 1998-02-20 2001-05-16 United Microelectronics Corp Tungsten etcher installed with a bottom electrode bias power supply
US5946592A (en) * 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
US5962345A (en) * 1998-07-13 1999-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce contact resistance by means of in-situ ICP
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6057244A (en) * 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
JP4180706B2 (ja) * 1998-09-24 2008-11-12 和夫 寺嶋 物質・材料プロセッシング方法
US6132575A (en) * 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
JP4230029B2 (ja) 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
DE69841643D1 (de) * 1998-12-04 2010-06-10 Stella Chemifa K K Rostfreier stahl mit darauf geformten passivem fluoridfilm und daraus hergestellter gegenstand
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
KR100748798B1 (ko) * 1999-05-06 2007-08-13 동경 엘렉트론 주식회사 플라즈마 에칭 장치
US20020129902A1 (en) * 1999-05-14 2002-09-19 Babayan Steven E. Low-temperature compatible wide-pressure-range plasma flow device
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
JP2001035839A (ja) * 1999-05-18 2001-02-09 Hitachi Kokusai Electric Inc プラズマ生成装置および半導体製造方法
AU6495300A (en) * 1999-08-16 2001-03-13 University Of Tennessee Research Corporation, The Cleaning surfaces with a thermal-non-equilibrium glow discharge plasma at high pressure
AU1786301A (en) * 1999-12-02 2001-06-12 Tegal Corporation Improved reactor with heated and textured electrodes and surfaces
US7141757B2 (en) 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
DE10015699B4 (de) * 2000-03-27 2004-03-18 Forschungs- Und Applikationslabor Plasmatechnik Gmbh Dresden Schaltungsanordnung zur Impedanzkompensation
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP3792999B2 (ja) * 2000-06-28 2006-07-05 株式会社東芝 プラズマ処理装置
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
JP4051209B2 (ja) * 2001-02-02 2008-02-20 キヤノンアネルバ株式会社 高周波プラズマ処理装置及び高周波プラズマ処理方法
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6727140B2 (en) * 2001-07-11 2004-04-27 Micron Technology, Inc. Capacitor with high dielectric constant materials and method of making
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
DE10146111A1 (de) * 2001-09-19 2003-04-03 Aurion Anlagentechnik Gmbh Verfahren und Anordnung zur Kathodenzerstäubung
EP1444727A4 (en) * 2001-10-22 2007-07-18 Unaxis Usa Inc PROCESS AND DEVICE FOR CORROSING THIN, DAMAGE-SENSITIVE LAYERS USING HIGH FREQUENCY PULSE PLASMA
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
KR100442194B1 (ko) * 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050139321A1 (en) * 2002-07-03 2005-06-30 Tokyo Electron Limited Plasma processing apparatus
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
WO2004022238A2 (en) * 2002-09-09 2004-03-18 Oster Magnetics, Inc. Apparatus for manipulating magnetic fields
US7026174B2 (en) * 2002-09-30 2006-04-11 Lam Research Corporation Method for reducing wafer arcing
JP2004214336A (ja) * 2002-12-27 2004-07-29 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US7767056B2 (en) * 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7470626B2 (en) 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7875199B2 (en) * 2003-11-11 2011-01-25 Showa Denko K.K. Radical generating method, etching method and apparatus for use in these methods
JP4515755B2 (ja) * 2003-12-24 2010-08-04 東京エレクトロン株式会社 処理装置
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7572998B2 (en) * 2004-05-28 2009-08-11 Mohamed Abdel-Aleam H Method and device for creating a micro plasma jet
US8502108B2 (en) * 2004-05-28 2013-08-06 Old Dominion University Research Foundation Method and device for creating a micro plasma jet
US8471171B2 (en) * 2004-05-28 2013-06-25 Robert O. Price Cold air atmospheric pressure micro plasma jet application method and device
JPWO2006008889A1 (ja) * 2004-07-20 2008-05-01 シャープ株式会社 プラズマ処理装置
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7794615B2 (en) * 2005-03-31 2010-09-14 Tokyo Electron Limited Plasma processing method and apparatus, and autorunning program for variable matching unit
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
CN100362619C (zh) * 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
DE102006005128B4 (de) * 2006-02-04 2008-09-25 Hüttinger Elektronik GmbH & Co. KG Verfahren und Vorrichtung zur Lastanpassung
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US8080479B2 (en) 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
US7879731B2 (en) * 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
KR101166988B1 (ko) * 2007-12-25 2012-07-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 챔버의 전극에 대한 비대칭 rf 구동
JP5749020B2 (ja) * 2008-01-31 2015-07-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Rf電力をプラズマチャンバに結合するための装置
CN102365906B (zh) * 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
JP2010199429A (ja) * 2009-02-26 2010-09-09 Fujifilm Corp プラズマエッチング方法及びプラズマエッチング装置並びに液体吐出ヘッドの製造方法
US8193823B2 (en) * 2009-04-23 2012-06-05 Lawrence Livermore National Security, Llc Assembly for electrical conductivity measurements in the piston cylinder device
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
EP3222749A1 (en) 2009-05-13 2017-09-27 SiO2 Medical Products, Inc. Outgassing method for inspecting a coated surface
US7985188B2 (en) * 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US9039864B2 (en) * 2009-09-29 2015-05-26 Applied Materials, Inc. Off-center ground return for RF-powered showerhead
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
WO2012178177A2 (en) * 2011-06-24 2012-12-27 The Board Of Trustees Of The University Of Illinois Microplasma jet devices, arrays, medical devices and methods
EP2776603B1 (en) 2011-11-11 2019-03-06 SiO2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
CA2890066C (en) 2012-11-01 2021-11-09 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
CN105705676B (zh) 2012-11-30 2018-09-07 Sio2医药产品公司 控制在医用注射器、药筒等上的pecvd沉积的均匀性
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
EP3122917B1 (en) 2014-03-28 2020-05-06 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
JP6396822B2 (ja) * 2015-02-16 2018-09-26 東京エレクトロン株式会社 プラズマ処理装置のサセプタの電位を制御する方法
WO2017031354A2 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
JP6584329B2 (ja) * 2016-01-19 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置
US10204765B2 (en) * 2017-05-25 2019-02-12 Pear Labs Llc Non-thermal plasma gate device
JP7125749B2 (ja) * 2018-10-29 2022-08-25 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57131374A (en) * 1981-02-09 1982-08-14 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5633839A (en) * 1979-08-29 1981-04-04 Hitachi Ltd Plasma treatment and device therefor
JPS5739967U (ja) * 1980-08-13 1982-03-03
JPS57154834A (en) * 1981-03-20 1982-09-24 Toshiba Corp Etching method by reactive ion
JPS57167627A (en) * 1981-04-08 1982-10-15 Nec Corp Dry etching equipment
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
JPS6139521A (ja) * 1984-03-28 1986-02-25 Anelva Corp プラズマ表面処理装置
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57131374A (en) * 1981-02-09 1982-08-14 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03171623A (ja) * 1989-10-03 1991-07-25 Applied Materials Inc 半導体ウェーハ製造用プラズマ処理方法
JPH03124024A (ja) * 1989-10-06 1991-05-27 Sharp Corp 半導体装置の製造方法
JPH06151367A (ja) * 1990-07-31 1994-05-31 Applied Materials Inc 半導体ウェーハ上の集積回路構造体形成用vhf/uhfプラズマ処理法
JPH04346829A (ja) * 1991-01-18 1992-12-02 Applied Materials Inc 高周波交流電気エネルギーと相対的に低い周波数の交流電気的エネルギーを有する、工作物を処理するためのシステムおよび方法
JP2005045231A (ja) * 1994-11-15 2005-02-17 Mattson Technology Inc 誘導性プラズマリアクター
JP2008535318A (ja) * 2005-03-25 2008-08-28 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド Rf電力供給における2次周波数の終端
JP2008041795A (ja) * 2006-08-03 2008-02-21 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2008252067A (ja) * 2007-01-30 2008-10-16 Applied Materials Inc 複数のvhf源を用いるイオン分布均一性制御器を備えたプラズマリアクタ

Also Published As

Publication number Publication date
WO1990013909A1 (en) 1990-11-15
US5272417A (en) 1993-12-21

Similar Documents

Publication Publication Date Title
JPH02298024A (ja) リアクティブイオンエッチング装置
US6433297B1 (en) Plasma processing method and plasma processing apparatus
JP2008263226A (ja) プラズマエッチング処理装置
KR20170022902A (ko) Icp 플라즈마들에서 유전체 윈도우를 재컨디셔닝하도록 전력공급된 정전 패러데이 차폐의 인가
JP3726477B2 (ja) プラズマ処理装置及びプラズマ処理方法
JPH0770532B2 (ja) プラズマ処理装置
JP4945566B2 (ja) 容量結合型磁気中性線プラズマスパッタ装置
JP2004281232A (ja) ビーム源及びビーム処理装置
US20040037971A1 (en) Plasma processing apparatus and processing method
JP3499104B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3311064B2 (ja) プラズマ生成装置、表面処理装置および表面処理方法
US20050126711A1 (en) Plasma processing apparatus
JP2774367B2 (ja) プラズマプロセス用装置および方法
KR100274309B1 (ko) 스패터링 방법 및 장치
JP3663392B2 (ja) プラズマエッチング処理装置
JP4408987B2 (ja) スパッタ処理応用のプラズマ処理装置
JPS6128029B2 (ja)
JPH10125665A (ja) プラズマプロセス用装置
JP3519066B2 (ja) プラズマプロセス用装置
JP4388455B2 (ja) プラズマエッチング処理装置
JP4084335B2 (ja) プラズマエッチング処理装置
JP4018935B2 (ja) プラズマ処理装置
JP2937907B2 (ja) プラズマ発生装置
JP3887605B2 (ja) スパッタリング方法及びスパッタリング装置
JP2877398B2 (ja) ドライエッチング装置