JP3257328B2 - プラズマ処理装置及びプラズマ処理方法 - Google Patents

プラズマ処理装置及びプラズマ処理方法

Info

Publication number
JP3257328B2
JP3257328B2 JP05747295A JP5747295A JP3257328B2 JP 3257328 B2 JP3257328 B2 JP 3257328B2 JP 05747295 A JP05747295 A JP 05747295A JP 5747295 A JP5747295 A JP 5747295A JP 3257328 B2 JP3257328 B2 JP 3257328B2
Authority
JP
Japan
Prior art keywords
temperature
inner cylinder
outer cylinder
plasma processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP05747295A
Other languages
English (en)
Other versions
JPH08255783A (ja
Inventor
三郎 金井
主人 高橋
浩一 岡村
良二 濱崎
哲 伊東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP05747295A priority Critical patent/JP3257328B2/ja
Priority to TW085217582U priority patent/TW322202U/zh
Priority to US08/611,758 priority patent/US5874012A/en
Priority to EP96103803A priority patent/EP0732729A3/en
Priority to EP98115402A priority patent/EP0881662A1/en
Priority to SG1996006745A priority patent/SG52614A1/en
Priority to KR1019960006945A priority patent/KR100303615B1/ko
Publication of JPH08255783A publication Critical patent/JPH08255783A/ja
Priority to US09/227,332 priority patent/US6171438B1/en
Priority to US09/421,044 priority patent/US20020119670A1/en
Priority to US09/421,043 priority patent/US20020005252A1/en
Priority to US09/984,052 priority patent/US20020043338A1/en
Priority to US09/983,946 priority patent/US6815365B2/en
Application granted granted Critical
Publication of JP3257328B2 publication Critical patent/JP3257328B2/ja
Priority to US10/253,862 priority patent/US20030024646A1/en
Priority to US10/441,009 priority patent/US20030203640A1/en
Priority to US10/617,020 priority patent/US20040016508A1/en
Priority to US10/617,019 priority patent/US20040009617A1/en
Priority to US10/647,319 priority patent/US20040045675A1/en
Priority to US10/953,537 priority patent/US7208422B2/en
Priority to US10/953,539 priority patent/US7565879B2/en
Priority to US11/156,477 priority patent/US20050236109A1/en
Priority to US11/478,629 priority patent/US20060249254A1/en
Priority to US12/534,491 priority patent/US20090289035A1/en
Priority to US12/709,641 priority patent/US20100140224A1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32504Means for preventing sputtering of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、プラズマ処理装置及び
プラズマ処理方法に係り、特に、高密度のプラズマを用
い、試料にエッチング等の処理を施すのに好適な、プラ
ズマ処理装置及びプラズマ処理方法に関するものであ
る。
【0002】
【従来の技術】従来のプラズマ処理装置としては、例え
ば、半導体プラズマプロセス技術(菅野卓雄 編著、産
業図書発行、(1980)、P139)に記載のよう
に、マイクロ波プラズマ処理装置を用い、マイクロ波を
伝播する導波管内に石英製の放電室を有し、放電室外部
に配置したコイルより生じられる外部磁場とマイクロ波
電界の作用により、放電室内でプラズマを生成させるよ
うになっていた。そして、該プラズマを利用して半導体
ウェハの表面にエッチング等の処理を施すことが可能と
なる。
【0003】このようなマイクロ波エッチング処理装置
では、マイクロ波を導くと共に、外部磁場を処理室内に
導入するために、処理室として、導波管となる非磁性で
かつ導電性の材料が必要である。そのため、一般には処
理室の壁材料として、アルミニウム(Al)やステンレ
ス鋼(SUS)の金属が用いられる。
【0004】ところで、処理室の壁面を構成するステン
レス鋼その他の金属は、プラズマにより削られ飛散し
て、その中に含まれている重金属と共に汚染源となる。
【0005】一方、特開平4−229619号公報に
は、処理室で使用される反応ガスによる化学的腐食から
金属面を保護することのできる導電製のコーティングを
内側金属面上に形成するものが示されている。これは、
処理ガスに、塩素などのハロゲンガスなどを用いてプラ
ズマエッチングを行うと、処理室の金属壁が腐食すると
いう恐れがあるため、処理室の金属内壁面上に、コーテ
ィングにより保護膜を形成するものである。処理室の金
属はアルミニウムであり、コーティング材料には、Ti
N,InSn,SiC,TiC,TaC等があげられて
いる。コーティング層の厚みは、0.2μmから1μm
にわたるものとされている。
【0006】また、特開昭63−138737号公報に
は、チャンバ内に対向電極を備えたドライエッチング装
置において、汚染されたチャンバの内面を清浄可能にす
るために、チャンバに対して着脱自在な絶縁材でチャン
バ内面を覆ったものが示されている。絶縁材としては、
アルマイト、アルミナ溶射、テフロン、セラミック等が
挙げられている。
【0007】
【発明が解決しようとする課題】上記特開平4−229
619号公報に記載された従来技術では、処理室で使用
される反応ガスによる化学的腐食の観点からは金属面を
保護することはできる。ただし、典型的なプラズマエッ
チングプロセスの条件として上記公報のカラム5に記載
されていることからも明らかなとおり、プラズマ処理時
の温度は、約10℃〜約70℃という比較的低温の範囲
に限定されている。これはもし、プラズマ処理に伴い処
理室を構成するアルミニウムの温度が、例えば100℃
以上に上昇すると、アルミニウムの熱膨張のために、ア
ルミニウム表面のコーティング膜に割れが発生する恐れ
があるためと考えられる。割れの発生を避けるためには
コーティング膜を薄くせざるを得ない。しかし、膜厚を
薄くすると、プラズマエッチングに伴う反応ガスにより
コーティング膜が短時間に腐食されてしまい、コーティ
ング膜の役目を果たさない。例えば、発明者等の実験に
よれば、SiCの場合、エッチングにより毎分約0.0
5μm削られるというデータがある。そのため、0.2
μmから1μm程度の厚みでは、数時間で、換言すると
試料を数百枚処理した時点でコーティング層が破壊さ
れ、無くなってしまう。その結果、処理室の内壁の金属
表面がプラズマに露出し、プラズマにより削られあるい
は化学的に反応して変質し、これらが重金属汚染源とな
ったり、あるいは処理室の特性を劣化させてしまう。
【0008】一方、前記特開昭63−138737号公
報記載の発明は、汚染された絶縁材をチャンバから外し
て清浄した後、再度チャンバ内に装着して使用するもの
であるが、しかしながら絶縁材等をチャンバ内面に装着
した場合には、プラズマ処理中に装着した絶縁材等の温
度が変動して、プラズマ処理特性が大幅に変動する問題
がある。
【0009】本発明の目的は、処理室内面がプラズマに
より変質したり重金属汚染源となることを防止すると共
に、処理室内面の温度を所望温度に保持することによ
り、プラズマ処理特性を経時的に安定させるプラズマ処
理装置及びプラズマ処理方法を提供することにある。
【0010】
【課題を解決するための手段】本発明は、プラズマ発生
装置と、減圧可能な処理室と、処理室にガスを供給する
処理ガス供給装置と、試料を保持する試料台と、真空排
気装置より成るプラズマ処理装置において、前記処理室
が、減圧に耐える外筒と、該外筒の内側に隙間を介して
配置された内筒と、該内筒の温度を所定の範囲に保持す
る温度制御手段とを備えており、該温度制御手段は、前
記外筒に設けられ該外筒の温度を常温〜350℃に調節
する第1の温度制御部と、前記隙間に導入された伝熱ガ
スを含み前記内筒の温度を調整する第2の温度制御部と
を有し、 前記第2の温度制御部は、前記外筒と前記内筒
間の隙間に、伝熱ガスを導入する伝熱ガス供給系を備え
ており、前記伝熱ガス供給系は、前記隙間の圧力を所定
値に保持する圧力制御手段を備えていることを特徴とす
る。
【0011】本発明の他の特徴は、プラズマ発生装置
と、減圧可能な処理室と、処理室にガスを供給する処理
ガス供給装置と、試料を保持する試料台と、真空排気装
置より成るプラズマ処理装置において、前記処理室が、
減圧に耐える外筒と、該外筒の内側に隙間を介して配置
された内筒と、該内筒の温度を所定の範囲に保持する温
度制御手段とを備えており、該温度制御手段は、前記外
筒に設けられ該外筒の温度を常温〜350℃に調節する
第1の温度制御部と、前記隙間に導入された伝熱ガスを
含み前記内筒の温度を調整する第2の温度制御部とを有
し、前記外筒と前記内筒間の前記隙間に、前記熱伝達手
段としての金属製可撓体を配し、該金属製可撓体のバネ
力によって該金属製可撓体を前記外筒と前記内筒に接触
させたことにある。
【0012】本発明の他の特徴は、前記内筒が非磁性材
料からなることにある。
【0013】本発明の他の特徴は、プラズマ発生装置
と、減圧可能な処理室と、処理室にガスを供給する処理
ガス供給装置と、試料を保持する試料台と、真空排気装
置より成るプラズマ処理装置において、前記処理室が、
減圧に耐える外筒と、該外筒の内側に隙間を介して配置
された内筒と、該内筒の温度を所定の範囲に保持する温
度制御手段とを備えており、該温度制御手段は、前記外
筒に設けられ該外筒の温度を常温〜350℃に調節する
第1の温度制御部と、前記隙間に導入された伝熱ガスを
含み前記内筒の温度を調整する第2の温度制御部とを有
し、前記内筒の材質がセラミックスであり、厚さを2〜
10mmにしたことにある。
【0014】
【作用】処理室の内壁としてセラミック等、重金属を含
まない材料からなる内筒を用いているため、ウェハの処
理時に外筒を構成するアルミニウムなどの金属面が露出
せず、従ってプラズマによって金属が削られたり変質し
たりして、ウェハに対する重金属汚染源となることがな
い。一方、内筒は外筒に比べて熱伝導性が低いので、も
しなんら制御しなければ、エッチング処理時に内筒の温
度、換言すると処理室の表面温度が200℃〜350℃
あるいはそれ以上に達する。本発明では、内筒の温度を
所望値、例えば100℃〜350℃、の間の所望値に制
御するので、処理室の表面温度も所望値に維持されるた
めに、エッチング特性は安定したものとなる。
【0015】また、内筒表面温度を所望のパターンに制
御することによりプロセスを安定化することも可能とな
る。
【0016】また、内筒を構成する材料の内側表面が、
プラズマによってわずかづつ削られる材料を使用した場
合には、内筒の内側表面が絶えず新しい表面に更新され
るので、内側表面の変質による汚染の心配はなく、処理
室としての特性の経時変化もほとんどない。また、内筒
は重金属を含んでいないので、削られても汚染源となる
心配はない。
【0017】
【実施例】以下、図を用いて本発明の実施例を説明す
る。
【0018】まず図1は、本発明の一実施例になるマイ
クロ波プラズマ処理装置の一部を縦断面した正面図であ
り、図2にその要部拡大図を示す。1はマイクロ波の発
振源としてのマグネトロン、2はマイクロ波の導波管で
ある。3は、処理室4を真空封止しマイクロ波を処理室
4に供給するための石英板である。処理室4は、例えば
純度の高いアルミニウム(Al)で作られた、減圧に耐
える外筒5と、その内側に配置された炭化珪素(Si
C)等のセラミックで作られた内筒6によって構成され
ている。処理室4の内面は絶縁物、外部は導電物である
ため、処理室4は導波管の役目もしている。7は磁場を
供給する第一のソレノイドコイル、8(8A,8B)
は、磁場を供給する第二のソレノイドコイルである。処
理室4は、真空室9に接続された真空ポンプにより真空
排気される。10は、エッチング等の処理を行なうウェ
ハ11を載置する試料台であり、高周波電源12が接続
されている。13は処理ガス供給系であり、処理室4内
にエッチング、成膜等の処理を行なう処理ガスを供給す
る。
【0019】外筒5と内筒6の間は、0.1〜2mm程
度の隙間G14が有り、その間にガス供給系15を介し
て温度制御用の伝熱ガスが導入される。ガス供給系15
は、ガス源16,圧力制御弁17、圧力検出器18、圧
力指令指示手段19、制御回路20を備えており、圧力
検出器18で隙間14の圧力Pを検出し、この圧力Pを
所望値に維持するように圧力制御弁17の開度を制御す
る。
【0020】内筒6はサポート32に支持されている。
一定量消耗したとき新しいものに交換するために、外筒
5に対して着脱自在に支持されている。
【0021】外筒5の外周には、処理室4を加熱するた
めのヒータ21が配置されており、温度検出器23によ
り内筒6の温度Tを検出し、温度コントローラ22によ
り外筒5の温度T0が制御される。このヒータ21は、
外筒5の温度T0及び隙間14の圧力を所定値に維持す
ることにより、内筒6の温度Tを所定値に維持するため
のものである。
【0022】プラズマエッチング処理に際しては、ガス
供給系13から処理室4に処理ガスを所定の流量で導入
しながら、他方真空ポンプにより真空排気することによ
り、処理室4の圧力を所定の処理圧力に調節する。ま
た、ヒータ21やガス供給系15、温度コントローラ2
2によって、外筒5の温度T0、内筒6の温度T、隙間
14の圧力Pを所定値に制御する。
【0023】他方、処理を行なうべきウェハ11を試料
台10に載置、保持し、マグネトロン1及び第一、第二
のソレノイドコイル7、8をオンとし、マイクロ波を処
理室4に導びいて、処理室4内にプラズマ100を生成
させ、ウェハ11にエッチング等の処理を行う。
【0024】本発明によれば、ウェハ11の処理時に、
処理室4内壁として、アルミニウムなどの金属面が露出
していないために、プラズマ100によって金属が削ら
れたり変質したりして、ウェハ11に対する重金属汚染
源となることがない。
【0025】一方、内筒6を構成するSiCの内側表面
は、プラズマ100によってわずかづつ削られる。しか
し、重金属を含んでいないので、削られても汚染源とな
る心配はない。むしろ、削られることにより、内筒6の
内側表面が絶えず新しい表面に更新されるので、内側表
面の変質による汚染の心配はなく、処理室4としての特
性の経時変化もほとんどない。削られたSiC成分は、
真空ポンプで処理室4から真空排気される。
【0026】ところで、エッチング処理時、処理室で発
生する熱により内筒の温度が上昇する。もしなんら制御
しなければ、内筒の温度Tは200℃〜350℃あるい
はそれ以上になる。一方、プラズマエッチング処理にお
ける、エッチング特性は内筒6の内側表面の温度に大き
く影響される。すなわち、内筒6の表面温度の変化によ
って、内筒6とエッチングガスの反応が変化し、エッチ
ングガスの雰囲気が変動するため、エッチング特性が安
定しない。例えば、円筒6の温度が変化することによ
り、壁への堆積物質の組成や堆積量が変動したり、壁と
の反応速度が変動することにより、プラズマ中の組成が
変動するため、エッチング特性が安定しない。
【0027】本発明では、ヒータ21により外筒5の温
度T0の制御及び隙間14の圧力Pの制御を行うことに
より、内筒の表面温度Tを100℃〜350℃、望まし
くは150℃〜300℃の間の所望値に制御する。本発
明によれば、内筒6表面の温度Tが所定値に維持される
ために、エッチング特性は安定したものとなる。また、
内筒6の温度が所定値に維持され、内筒6の内側表面の
プラズマによる反応速度が安定するため、エッチングに
よって内筒6の表面が削られる量も一定になる。これに
よって、処理室4としての特性も安定したものとなる。
【0028】図3は、温度コントローラ22によ.内筒
6の温度制御機能を示すものである。一例として、外筒
5の温度をT0に維持することにより、内筒6の温度T
をT0に近つけることを示している。
【0029】この場合、図4に示すように、隙間14の
圧力Pを上げることにより、温度TとT0の差を小さく
できる。具体的には、隙間14が1mm、隙間14にH
eガスを供給し、ガス圧力を10Torrに制御した場
合、内筒6への入熱量が0〜300W相当の時、外筒5
の温度150℃にたいして、内筒6の温度を150℃±
20℃に保持することが可能である。
【0030】内筒の所望温度は円筒の材質、被処理膜
質、処理ガスの種類、放電条件等の組合せにより最適値
が異なってくる。
【0031】例えば、処理ガスとしてCF系ガスを用
い、円筒に石英を用いて図12に示すレジスト付酸化膜
試料を処理する場合、内筒の温度を制御しない時は、図
13に示すように試料処理枚数が増加するに従い、内筒
はプラズマからの熱を受け徐々に上昇してゆき、飽和温
度となる。この時、酸化膜のエッチング速度の変動は少
ないが、内筒の温度上昇に従いレジストのエッチング速
度は除々に低下し、内筒温度が飽和するとレジストのエ
ッチング速度も安定する。
【0032】一方、内筒の温度をあらかじめ図13の飽
和温度に保持すれば、試料処理枚数の始めから安定した
レジストのエッチング速度を得ることができる。
【0033】内筒の温度を図13の飽和温度でなく、初
期温度に保持すれば、試料処理枚数初期のエッチング速
度を得ることができる。
【0034】なお隙間14としては狭い方がガスによる
熱伝達性が良いが、2mm程度の間隙迄その効果が生じ
る。
【0035】実施例における内筒6の材料は、磁場を用
いたマイクロ波放電のために非磁性の材料であり、プラ
ズマによって変質せず、かつ、重金属を含まないことが
必要である。この条件を満たすものとして、炭素C、シ
リコン(Si)、石英(SiO2)、アルミナ(Al2O
3)等の材料が挙げられるが、プラズマ処理内容によっ
ては、アルミニウム材料でも良い。
【0036】また、内筒6は、所定値以上の機械的な強
度と耐久性が要求される。つまり、内筒6を構成する実
施例でのSiCの厚さは、プラズマ処理時に作用する外
力に耐えられる機械的な強度を有すると共に、プラズマ
100によって削られながらも、多量のウェハ処理に耐
えうる耐久性を有するものでなければならない。エッチ
ングにより毎分約0.05μm削られるとして、実用
上、数万枚のウェハ処理に耐えうるために、SiCの厚
さは2〜10mmあれば足りる。
【0037】図1の一実施例において、石英板3の表面
温度についても、内筒6の温度制御と同様な方法で、1
00℃〜350℃の温度に制御するのが良い。
【0038】図5は、本発明の他の実施例のマイクロ波
プラズマ処理装置の縦断面図である。処理室4は、例え
ば純度の高いアルミニウムで作られた外筒5と、その内
側に配置されたセラミック製の内筒6によって構成され
ている。処理室4の内面は逆テーパ状となっており、内
筒6は円錐台型となっている。外筒5と内筒6の間には
隙間14がある。隙間14内には、図6に示すように、
アルミニウム製の波板30が配置されており、バネ力に
よって波板30が外筒5と内筒6に接触している。外筒
5の外周には加熱用のヒータ21が配置されている。内
筒6の下端部はバネ31を介して支持部32に保持され
ている。内筒6の上端部にもバネ33があり、これらの
バネ31,33によって、波板30と外筒5及び内筒6
の接触力を高めている。バネ31,33はまた、外筒5
と内筒6間の熱膨張の差を吸収する機能も備えている。
【0039】この実施例でも、SiCで構成される内筒
6の機能は、前に述べた実施例と同じである。この実施
例では、外筒5と内筒6の間の熱の伝達を、波板30に
よる接触熱伝導方式と、隙間14内のガスによるガス伝
導方式の組み合わせとしている点に特徴がある。この実
施例によれば、処理室表面すなわち内筒6の表面温度T
が外筒5の温度T0に対して差の少ない値に維持される
ために、エッチング特性は安定したものとなる。
【0040】上記図1〜5の実施例に於いて、内筒6の
温度は(間接的に検出されれば)直接検出しなくてもよ
い。ただし、内筒6に温度検出器23を付加することに
より、下記に示す効果がある。
【0041】(1)内筒6の温度Tを更に正確に制御す
るために、隙間14の圧力を可変にする、又は外筒5の
温度を細かく調整することが可能となり内筒の温度制御
性が向上する。
【0042】(2)内筒6の温度を監視し、内筒6の温
度が所定範囲外の時はプラズマ処理をしない等の警報出
力を出したり、プラズマ処理を停止することができる。
【0043】また上記実施例1〜5では、外筒の温度制
御機能としてヒータ加熱機を記載したが、外筒に温度コ
ントロールされた循環液を流すことにより室温以下の冷
却から加熱まで、温度制御範囲を広くすることができ、
内筒の温度制御性が一層向上する。
【0044】図7は、本発明の他の実施例として、平行
平板プラズマエッチング装置への適用例を示す。この装
置は、真空容器としての処理室4は、外室40と、上板
41と、側壁42と、底板43とから成る実質的に閉じ
た金属反応で構成されている。真空容器中に一対の対向
する平行平板電極(外室40の内壁に接地されたアノー
ド、外室40に絶縁体46を介して装着されたカソード
47)を備え、カソード47に高周波エネルギーを供給
する高周波電源48がある。さらに、処理室4を部分的
に真空排気する真空ポンプへの接続部44と、弁制御さ
れる導管45を通して反応ガスを処理室4へ供給する反
応ガス供給源とがある。エッチングするウエーハ11
は、カソード47の上に載置される。
【0045】SiCで構成される内筒49が外室40の
内側面に、すなわち、上板41、側壁42、及び底板4
3の内面に形成されている。外室40と内筒49の間に
は隙間50が有り、その間にガス供給系を介して温度制
御用の伝熱ガスが導入される。ガス供給系は、図1の実
施例で述べたと同様に、ガス源,圧力制御弁、圧力検出
器、圧力指令指示手段、制御回路を備えており、隙間5
0の圧力Pを所定値に維持するように動作する。また、
外室40の外周には、処理室4を加熱するためのヒータ
51が配置されており、図1の実施例で述べたと同様
に、温度コントローラによりヒータ51の温度T0が制
御され、内筒49の温度Tをコントローラで所定値に維
持することができる。内筒6に温度検出器23を付加し
てもよい。
【0046】このような構成により、ウエーハ11のプ
ラズマエッチング時に、内筒49の温度を所定値に維持
することにより、前に述べた実施例と同様な作用によ
り、プラズマによって金属が削られたり変質したりする
ことがないという効果が得られる。また、内筒49の内
側表面が絶えず新しい表面に更新されるので、内側表面
の変質による汚染の心配はない。また、内筒49の温度
が所定値に維持されるため、安定したプラズマ処理が可
能となる。尚、平行平板型エッチング装置では内筒材質
は非磁性材料に限定する必要はない。
【0047】本発明は、プラズマ発生機構が異なる他の
装置への適用が可能であり、その適用例を図8〜図11
に示す。
【0048】図8は本発明を、マグネット80を備えた
マグネトロンRIE装置に適用した例である。真空容器
としての処理室4は側壁42と、ウェハ11を載置する
試料台10とを備えており、試料台10の電極に高周波
エネルギーを供給する高周波電源48がある。さらに、
処理室4を部分的に真空排気する真空ポンプへの接続部
と、弁制御される導管13を通して反応ガスを処理室4
へ供給する反応ガス供給源とがある。
【0049】SiCで構成される内筒49が側壁42の
内側面に形成されている。側壁42と内筒49の間には
隙間が有り、その間にガス供給系15を介して温度制御
用の伝熱ガスが導入される。ガス供給系は、図1の実施
例で述べたと同様に、ガス源,圧力制御弁、圧力検出
器、圧力指令指示手段、制御回路を備えており、隙間の
圧力Pを所定値に維持するように動作する。また、側壁
42の外周には、処理室4を加熱するためのヒータ51
が配置されており、図1の実施例で述べたと同様に、温
度コントローラ22によりヒータ51を介して側壁42
の温度T0が制御され、内筒49の温度Tを所定値に維
持することができる。
【0050】このような構成により、ウエーハ11のプ
ラズマエッチング時に、内筒49の温度を所定値に維持
することにより、前に述べた実施例と同様な作用によ
り、安定したプラズマ処理が可能となる。さらに、プラ
ズマによって金属が削られたり変質したりすることがな
いという効果が得られる。また、内筒49の内側表面が
絶えず新しい表面に更新されるので、内側表面の変質に
よる汚染の心配はない。
【0051】図9は本発明を、外部エネルギー供給放電
方式のうち、誘導結合型放電方式でかつ、無磁場タイプ
の装置に適用した例であり、処理室4はシリコンプレー
ト90と石英チャンバ92とで囲まれている。91は加
熱されたアンテナ部材、95は上部ヒータである。この
実施例においても、ウエーハ11のプラズマエッチング
時に、石英チャンバ92の温度を所定値Tに維持するこ
とにより、前に述べた実施例と同様な作用により、安定
したプラズマ処理が可能となる。さらに、プラズマによ
って石英チャンバ92の内側表面が絶えず新しい表面に
更新されるので、内側表面の変質による汚染の心配はな
い。
【0052】図10は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、有磁場タイ
プの装置へ適用した例である。105はベルヂャー、1
10はアンテナである。真空容器としての処理室4は、
内筒112、外筒114、ウェハ11を載置する試料台
10とを備えており、試料台10の電極に高周波エネル
ギーを供給する高周波電源48がある。さらに、処理室
4を部分的に真空排気する真空ポンプへの接続部と、弁
制御される導管を通して反応ガスを処理室4へ供給する
反応ガス供給源とがある。また、外筒114を加熱、冷
却して温度制御を行なうヒータ116及び冷却水通路1
20を備えている。
【0053】SiCで構成される内筒112と外筒11
4の間には隙間が有り、その間にガス供給系15を介し
て温度制御用の伝熱ガスが導入される。ガス供給系は、
前の実施例で述べたと同様に、ガス源,圧力制御弁、圧
力検出器、圧力指令指示手段、制御回路を備えており、
隙間の圧力Pを所定値に維持するように動作する。ま
た、温度コントローラによりヒータ116を介して外筒
114の温度T0が制御され、内筒112の温度Tを所
定値に維持することができる。
【0054】このような構成により、内筒112の温度
を所定値に維持することにより、前に述べた実施例と同
様な作用により、安定したプラズマ処理が可能となる。
さらに、プラズマによって金属が削られたり変質したり
することがないという効果が得られる。また、内筒の内
側表面が絶えず新しい表面に更新されるので、内側表面
の変質による汚染の心配はない。
【0055】図11は本発明を、外部エネルギー供給放
電方式のうち、誘導結合型放電方式でかつ、有磁場タイ
プの装置へ適用した例である。120は電極、48は高
周波電源である。真空容器としての処理室4は、セラミ
ックプレート124、内筒122、ウェハ11を載置す
る試料台10を備えている。さらに、セラミックプレー
ト124を加熱、冷却して温度制御を行なうヒータ16
6及び隙間ヘガスヲ供給するガス通路130を備えてい
る。ガス供給系は、前の実施例で述べたと同様に、ガス
源,圧力制御弁、圧力検出器、圧力指令指示手段、制御
回路を備えており、隙間の圧力Pを所定値に維持するよ
うに動作する。また、温度コントローラによりヒータ1
26を介してセラミックプレート124の温度T0が制
御され、内筒122の温度Tを所定値に維持することが
できる。
【0056】このような構成で内筒122の温度を所定
値に維持することにより、前に述べた実施例と同様な作
用により、安定したプラズマ処理が可能となる。さら
に、プラズマによって金属が削られたり変質したりする
ことがないという効果が得られる。また、内筒の内側表
面が絶えず新しい表面に更新されるので、内側表面の変
質による汚染の心配はない。
【0057】以上、図8〜図11で述べたいずれの実施
例も、磁場や電界への影響を少なくするために、内筒の
材質は非磁性非金属材料とするのが好ましい。
【0058】本発明は以上述べたプラズマエッチング処
理に限らずCVD装置やスパッタ装置にも適用できる。
【0059】また、内筒の温度を所定値に維持すること
でプロセスを安定化させる場合に限らず、例えば、ロッ
トの初期に故意に内筒温度を変化させて、ロット初期の
プロセスの変化を補正する場合にも同様に適用できる。
すなわち、内筒の温度制御性を改善することにより、プ
ロセスの安定化が可能になる。
【0060】尚、図1〜図11で述べた装置は、次のよ
うにして使用される。例えば、装置起動開始前に、内筒
の温度を所望温度に制御し得るか否かがチェックされ
る。まず、処理室4内は、真空ポンプの作動により所定
の圧力に減圧排気される。その後、ヒータが作動させら
れる。このヒータの発熱により内筒が加熱される。ま
た、これと前後して隙間には、伝熱ガスが供給され、隙
間のガス圧力は、所定の圧力に調節される。つまり、内
筒の加熱は、隙間に供給された伝熱ガスの熱伝導を利用
して実施される。加熱される内筒の温度は、直接又は間
接に検出され所望温度に制御される。これにより、内筒
の温度を所望温度に制御し得ることが確認される。尚、
内筒の温度を所望温度に制御し得ない場合は、ヒータの
作動及び隙間への伝熱ガスの供給が停止され、不都合部
分のチェック、復旧がなされる。
【0061】一方、図示を省略した搬送装置により、処
理室内にはウェハが、この場合、1個搬入される。該搬
入されたウェハは、搬送装置から試料台に受け渡され、
その被処理面と反対面を試料台の試料載置面に対応して
該載置面に載置される。
【0062】図1〜図11で述べた装置では、試料台に
は冷却機能を有する温度制御手段が付設され、また、C
VD装置、スパッタ装置等の処理時にウェハを加熱する
必要が有る装置では、加熱機能を有する温度制御手段が
付設される。また、試料台の試料載置面に載置されたウ
ェハは、バネ力や荷重を利用した機械的クランプ手段や
静電吸着手段や真空吸着手段等により試料台に保持され
る。
【0063】その後、処理室内には、処理ガスが所定流
量で供給される。処理室内に供給された処理ガスの一部
は、作動している真空ポンプにより処理室外へ排気さ
れ、これにより処理室内の圧力は、ウェハの処理圧力に
調節される。
【0064】このような状態で、処理室内の処理ガスは
放電によりプラズマ化される。試料台の試料載置面に載
置されたウェハの被処理面は、該プラズマにより処理さ
れる。該処理時にウェハの温度は、所定温度に制御され
る。
【0065】ウェハの処理時に、内筒の温度は連続、ま
たは、随時モニターされる。該モニター温度は、予め設
定された所望温度と比較され、該比較結果に基づき内筒
の温度は所望温度に制御される。内筒の温度制御は、外
筒と内筒との隙間の伝熱ガスの圧力を調節するか、ヒー
タ発熱を調節して外筒の温度を調節することで実施され
る。尚、外筒と内筒との隙間の伝熱ガスの圧力調節は、
該隙間に供給される伝熱ガスの供給量または圧力を調節
することで実施される。
【0066】一般に、複数個のウェハが1個毎連続して
処理される。この場合、内筒の温度は、複数個のウェハ
の処理が完了するまでウェハ1個の処理時にモーターさ
れ、そして、所望温度に制御される。例えば、内筒の温
度モニターに不都合が生じた場合や内筒の温度を所望温
度に制御し得なくなった場合、ウェハの処理特性を安定
に維持出来なくなったと判断され、ウェハの処理は中断
される。そして、該中断時に問題の解決対策が実施され
る。その後、引続き複数個のウェハの処理が再開され
る。
【0067】内筒の温度モニターの不都合や内筒の温度
を所望温度に制御し得なくなるといったことは、制御装
置を介し何等かの警報を発することでオペレータに伝え
られる。これによりオペレータは、復旧対策を講じウェ
ハの処理を再開させる。尚、内筒の温度制御に係る要因
をモニターしておくことで、ウェハ処理の中断に至まで
の来歴をチェックすることができ、その原因の究明、復
旧対策を的確・早期に実施することができる。
【0068】また、処理室内は、クリーニング処理され
る。該処理は、内筒表面等の処理室内面や試料台等の処
理室内に配置されている内部品面を拭き取るか、クリー
ニング用ガスのプラズマを利用して実施される。また、
該処理は、ウェハの処理前や、複数のウェハの処理途中
や、ウェハの処理完了後に実施される。
【0069】拭き取ってクリーニング処理する場合、該
処理終了後であってウェハの処理開始前に内筒の温度を
所望温度に制御し得るか否かがチェックされる。また、
プラズマを利用してクリーニング処理する場合、該処理
中または該処理終了後であってウェハの処理開始前に内
筒の温度を所望温度に制御し得るか否かがチェックされ
る。
【0070】更に、処理室内では、慣らし放電(シーズ
ニング)処理が実施される。該処理は、その日のウェハ
処理開始前や、クリーニング処理終了後であってウェハ
の処理開始前に実施される。この場合、慣らし放電処理
中に内筒の温度を所望温度に制御し得るか否かをチェッ
クするようにしても良い。
【0071】プラズマ処理特性を経時的に安定させるた
めには、ウェハの処理条件に応じた温度に内筒の温度を
制御する必要が有る。ここで、ウェハの処理条件とし
て、被処理膜質、処理ガス種、放電条件、放電タイプ等
が挙げられる。
【0072】そこで、ウェハの処理条件が上位制御装置
またはオペレータにより処理装置の制御装置に入力され
る。該制御装置には、ウェハ処理条件に応じた内筒の温
度が予め入力されている。制御装置では、入力されたウ
ェハ処理条件に応じた内筒の温度が制御温度として選択
・設定される。一方、検出・モニターされた内筒の温度
は、制御装置に入力される。該検出・モニター温度は、
制御温度と比較演算され、該結果に基づき内筒の温度は
制御温度に制御される。
【0073】更に、例えば、ウェハが多層膜構造である
場合、それぞれの膜の膜質、処理ガス種、放電条件等に
応じた温度に内筒の温度を制御するようにすれば、プラ
ズマ処理特性を木目細かく経時的に安定させることがで
きる。
【0074】慣らし放電(シーズニング)処理後の1ロ
ッド処理中にウェハの処理性能が変化する場合には、処
理性能を一定化するために、内筒の温度を所望の温度パ
ターンに沿って変化させると良い。
【0075】これまでは、チャンバの内筒の温調を対象
に述べて来たが、試料台の周辺に設置される試料台カバ
ーの温調に対しても同様に適用できる。
【0076】図14に本発明を適用した試料台部分の実
施例の断面図を示す。試料台10中は温調用液体が循環
し、所望の温度に保持されている試料台の表面には絶縁
物が塗布されており、処理室に放電が生じている状態で
静電チャック用直流電源54により、試料11を静電力
により試料台10に引きつける。試料11と試料台10
間には熱伝導を良くするために伝熱用ガス(例えばHe
ガス等)を導入する。試料台10の上部周囲には試料台
カバー(アルミナ等の絶縁物やSiC等の抵抗体)が設
置され、金属製の試料台10をプラズマにさらした時問
題となる金属類の放出を阻止している。ところで試料台
カバー表面50には、プラズマ中のイオン・ラジカル等
が衝突するため温度が上昇する。試料周辺の試料台カバ
ー50の温度が変動すると、試料周辺における科学・物
理反応が変化し、試料の処理特性を変化させる欠点があ
った。そこで試料台10と試料台カバー51との間にガ
ス封止手段51(例えば0リング等)を設け、その間に
伝熱ガスを導入する。圧力制御等については内筒の場合
と同様である。図14では、試料冷却用伝熱ガスと試料
台カバー冷却用伝熱ガスとを併用しているが、別々に供
給しても良いことはもちろんである。
【0077】
【発明の効果】本発明によれば、プラズマに直接接触す
る内筒の温度を制御することが可能となり、プラズマ処
理の経時的な特性変化の制御が可能となる。また、処理
室を構成する非磁性でかつ導電性の金属材料がプラズマ
により削られたり変質したりして重金属汚染源となるこ
とを防止すると共に、処理室の壁面が処理室内で使用さ
れる反応ガスにより化学的腐食するおそれの無い状態
で、安定したプラズマ処理特性を有するプラズマ処理装
置及び方法を提供することができる。
【図面の簡単な説明】
【図1】本発明の一実施例になるマイクロ波プラズマ処
理装置の一部を縦断面した正面図である。
【図2】図1の内筒の温度制御部を示す要部拡大図であ
る。
【図3】図1の温度コントローラの機能を示す図であ
る。
【図4】温度制御における隙間の圧力Pと温度差の関係
を示す図である。
【図5】本発明の第2の実施例のマイクロ波プラズマ処
理装置の縦断面図である。
【図6】図5のプラズマ処理装置の要部横断面図であ
る。
【図7】本発明の第3の実施例になる、平行板プラズマ
エッチング装置の縦断面図である。
【図8】本発明を、マグネトロンRIE装置に適用した
例の縦断面図である。
【図9】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、無磁場タイプの装置に
適用した例の縦断面図である。
【図10】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、有磁場タイプの装置へ
適用した例の縦断面図である。
【図11】本発明を、外部エネルギー供給放電方式のう
ち、誘導結合型放電方式でかつ、有磁場タイプの装置へ
適用した例の縦断面図である。
【図12】本発明の装置で処理される試料の一例として
の、レジスト付酸化膜試料の縦断面図である。
【図13】ウェハ処理枚数と内筒の温度との関係を示す
図である。
【図14】本発明を適用する処理装置の試料台カバー部
の実施例の断面図である。
【符号の説明】
1…マグネトロン、2…導波管、3…石英板、4…処理
室、5…外筒、6…内筒、7…第一のソレノイドコイ
ル、8…第二のソレノイドコイル、9…真空室、10…
試料台、11…ウェハ、13…処理ガス供給系、14…
隙間、15…ガス供給系、17…圧力制御弁、18…圧
力検出器、20…制御回路、21…ヒータ、22…温度
コントローラ22
───────────────────────────────────────────────────── フロントページの続き (72)発明者 濱崎 良二 山口県下松市大字東豊井794番地 株式 会社 日立製作所 笠戸工場内 (72)発明者 伊東 哲 山口県下松市大字東豊井794番地 株式 会社 日立製作所 笠戸工場内 (56)参考文献 特開 昭62−95828(JP,A) 特開 平7−22404(JP,A) 特開 昭58−153332(JP,A) 特開 平4−184924(JP,A) 特開 平5−211125(JP,A) 特開 平4−214873(JP,A) 特開 昭63−5526(JP,A) 特開 昭57−23228(JP,A) 実開 昭63−75034(JP,U) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C30B 25/10 C30B 25/16

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】 プラズマ発生装置と、減圧可能な処理室
    と、処理室にガスを供給する処理ガス供給装置と、試料
    を保持する試料台と、真空排気装置より成るプラズマ処
    理装置において、 前記処理室が、減圧に耐える外筒と、該外筒の内側に隙
    間を介して配置された内筒と、該内筒の温度を所定の範
    囲に保持する温度制御手段とを備えており、 該温度制御手段は、前記外筒に設けられ該外筒の温度を
    常温〜350℃に調節する第1の温度制御部と、前記隙
    間に導入された伝熱ガスを含み前記内筒の温度を調整す
    る第2の温度制御部とを有し、 前記第2の温度制御部は、前記外筒と前記内筒間の隙間
    に、伝熱ガスを導入する伝熱ガス供給系を備えており、 前記伝熱ガス供給系は、前記隙間の圧力を所定値に保持
    する圧力制御手段を備えていることを特徴とするプラズ
    マ処理装置。
  2. 【請求項2】 プラズマ発生装置と、減圧可能な処理室
    と、処理室にガスを供給する処理ガス供給装置と、試料
    を保持する試料台と、真空排気装置より成るプラズマ処
    理装置において、 前記処理室が、減圧に耐える外筒と、該外筒の内側に隙
    間を介して配置された内筒と、該内筒の温度を所定の範
    囲に保持する温度制御手段とを備えており、 該温度制御手段は、前記外筒に設けられ該外筒の温度を
    常温〜350℃に調節する第1の温度制御部と、前記隙
    間に導入された伝熱ガスを含み前記内筒の温度を調整す
    る第2の温度制御部とを有し、 前記外筒と前記内筒間の前記隙間に、前記熱伝達手段と
    しての金属製可撓体を配し、該金属製可撓体のバネ力に
    よって該金属製可撓体を前記外筒と前記内筒に接触させ
    たことを特徴とするプラズマ処理装置。
  3. 【請求項3】 請求項1または2のいずれかに記載のプラ
    ズマ処理装置において、 前記内筒の温度を所定の範囲に保持する温度制御手段
    が、前記内筒の温度を±20℃以内に保持することを特
    徴とするプラズマ処理装置。
  4. 【請求項4】 請求項1または2のいずれかに記載のプラ
    ズマ処理装置において、 前記内筒が、非磁性材料からなることを特徴とするプラ
    ズマ処理装置。
  5. 【請求項5】 前記第1の温度制御部は、前記外筒に付設
    されたヒータを備え、該ヒータにより前記外筒の温度を
    常温〜350℃に調整するよう構成されていることを特
    徴とする請求項1ないし2項のいずれかに記載のプラズ
    マ処理装置。
  6. 【請求項6】 前記第1の温度制御部は、前記外筒に設け
    られた循環液流路を有し、温度制御された流体を前記流
    路へ循環させることにより、前記外筒の温度を0℃〜1
    50℃に調整するよう構成されていることを特徴とする
    請求項1ないし2のいずれかに記載のプラズマ処理装
    置。
  7. 【請求項7】 前記金属製可撓体は、波板であることを特
    徴とする請求項2記載のプラズマ処理装置。
  8. 【請求項8】 プラズマ発生装置と、減圧可能な処理室
    と、処理室にガスを供給する処理ガス供給装置と、試料
    を保持する試料台と、真空排気装置より成るプラズマ処
    理装置において、 前記処理室が、減圧に耐える外筒と、該外筒の内側に隙
    間を介して配置された内筒と、該内筒の温度を所定の範
    囲に保持する温度制御手段とを備えており、 該温度制御手段は、前記外筒に設けられ該外筒の温度を
    常温〜350℃に調節する第1の温度制御部と、前記隙
    間に導入された伝熱ガスを含み前記内筒の温度を調整す
    る第2の温度制御部とを有し、 前記内筒の材質がセラミックスであり、厚さを2〜10
    mmにしたことを特徴とするプラズマ処理装置。
  9. 【請求項9】 前記内筒の材質が、Al23またはSiC
    からなるセラミックスであることを特徴とする請求項8
    記載のプラズマ処理装置。
  10. 【請求項10】 前記内筒の材質がアルミニウムであるこ
    とを特徴とする請求項9記載のプラズマ処理装置。
JP05747295A 1995-03-16 1995-03-16 プラズマ処理装置及びプラズマ処理方法 Expired - Fee Related JP3257328B2 (ja)

Priority Applications (23)

Application Number Priority Date Filing Date Title
JP05747295A JP3257328B2 (ja) 1995-03-16 1995-03-16 プラズマ処理装置及びプラズマ処理方法
TW085217582U TW322202U (en) 1995-03-16 1996-03-05 Plasma processing apparatus
US08/611,758 US5874012A (en) 1995-03-16 1996-03-08 Plasma processing apparatus and plasma processing method
EP96103803A EP0732729A3 (en) 1995-03-16 1996-03-11 Plasma processing device and method
EP98115402A EP0881662A1 (en) 1995-03-16 1996-03-11 Plasma processing apparatus and plasma processing method
SG1996006745A SG52614A1 (en) 1995-03-16 1996-03-13 Plasma processing apparatus and plasma processing method
KR1019960006945A KR100303615B1 (ko) 1995-03-16 1996-03-15 플라즈마처리장치및플라즈마처리방법
US09/227,332 US6171438B1 (en) 1995-03-16 1999-01-08 Plasma processing apparatus and plasma processing method
US09/421,044 US20020119670A1 (en) 1995-03-16 1999-10-20 Plasma etching apparatus and plasma etching method
US09/421,043 US20020005252A1 (en) 1995-03-16 1999-10-20 Plasma etching apparatus and plasma etching method
US09/984,052 US20020043338A1 (en) 1995-03-16 2001-10-26 Plasma etching apparatus and plasma etching method
US09/983,946 US6815365B2 (en) 1995-03-16 2001-10-26 Plasma etching apparatus and plasma etching method
US10/253,862 US20030024646A1 (en) 1995-03-16 2002-09-25 Plasma etching apparatus and plasma etching method
US10/441,009 US20030203640A1 (en) 1995-03-16 2003-05-20 Plasma etching apparatus
US10/617,019 US20040009617A1 (en) 1995-03-16 2003-07-11 Plasma etching apparatus and plasma etching method
US10/617,020 US20040016508A1 (en) 1995-03-16 2003-07-11 Plasma etching apparatus and plasma etching method
US10/647,319 US20040045675A1 (en) 1995-03-16 2003-08-26 Plasma etching apparatus
US10/953,537 US7208422B2 (en) 1995-03-16 2004-09-30 Plasma processing method
US10/953,539 US7565879B2 (en) 1995-03-16 2004-09-30 Plasma processing apparatus
US11/156,477 US20050236109A1 (en) 1995-03-16 2005-06-21 Plasma etching apparatus and plasma etching method
US11/478,629 US20060249254A1 (en) 1995-03-16 2006-07-03 Plasma processing apparatus and plasma processing method
US12/534,491 US20090289035A1 (en) 1995-03-16 2009-08-03 Plasma Processing Apparatus And Plasma Processing Method
US12/709,641 US20100140224A1 (en) 1995-03-16 2010-02-22 Plasma Processing Apparatus And Plasma Processing Method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP05747295A JP3257328B2 (ja) 1995-03-16 1995-03-16 プラズマ処理装置及びプラズマ処理方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP25824499A Division JP3534660B2 (ja) 1999-09-13 1999-09-13 プラズマ処理装置
JP2001159117A Division JP3534716B2 (ja) 2001-05-28 2001-05-28 プラズマ処理方法

Publications (2)

Publication Number Publication Date
JPH08255783A JPH08255783A (ja) 1996-10-01
JP3257328B2 true JP3257328B2 (ja) 2002-02-18

Family

ID=13056650

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05747295A Expired - Fee Related JP3257328B2 (ja) 1995-03-16 1995-03-16 プラズマ処理装置及びプラズマ処理方法

Country Status (6)

Country Link
US (16) US5874012A (ja)
EP (2) EP0881662A1 (ja)
JP (1) JP3257328B2 (ja)
KR (1) KR100303615B1 (ja)
SG (1) SG52614A1 (ja)
TW (1) TW322202U (ja)

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
KR100223851B1 (ko) * 1996-09-12 1999-10-15 구본준 반도체소자 제조 공정용 폴리실리콘 식각장치
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
WO1999010913A1 (en) * 1997-08-26 1999-03-04 Applied Materials, Inc. An apparatus and method for allowing a stable power transmission into a plasma processing chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP3764594B2 (ja) * 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
WO2000060653A1 (fr) * 1999-03-30 2000-10-12 Tokyo Electron Limited Dispositif de traitement au plasma, procede de maintenance et procede d'installation dudit dispositif
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6203661B1 (en) * 1999-12-07 2001-03-20 Trusi Technologies, Llc Brim and gas escape for non-contact wafer holder
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
KR100502268B1 (ko) * 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
US6527909B2 (en) * 2000-04-27 2003-03-04 Tokyo Electron Limited Plasma processing apparatus
JP2002075969A (ja) * 2000-08-25 2002-03-15 Hitachi Ltd プラズマ処理装置
JP4447756B2 (ja) * 2000-08-28 2010-04-07 独立行政法人産業技術総合研究所 ラジカルセル装置およびii−vi族化合物半導体装置の製法
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
JP2003234331A (ja) 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP3689732B2 (ja) * 2001-12-05 2005-08-31 株式会社日立ハイテクノロジーズ プラズマ処理装置の監視装置
JP4061062B2 (ja) * 2001-12-13 2008-03-12 ローム株式会社 半導体発光素子の製法および酸化炉
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US20030160024A1 (en) * 2002-02-27 2003-08-28 Tadayashi Kawaguchi Plasma processing method and apparatus
US20050120960A1 (en) * 2002-03-12 2005-06-09 Tokyo Electron Limited Substrate holder for plasma processing
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
WO2004025199A1 (ja) * 2002-09-10 2004-03-25 Tokyo Electron Limited 処理装置,および,処理装置のメンテナンス方法
KR100470999B1 (ko) * 2002-11-18 2005-03-11 삼성전자주식회사 유도 결합 플라즈마 식각장치의 챔버구조
JP4671262B2 (ja) * 2003-01-21 2011-04-13 日本碍子株式会社 半導体加熱装置
US20040182833A1 (en) * 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
WO2004079769A1 (ja) * 2003-03-03 2004-09-16 Matsushita Electric Industrial Co., Ltd. プラズマディスプレイパネルとその製造方法およびその保護層用材料
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
US20050003673A1 (en) * 2003-07-02 2005-01-06 Omid Mahdavi Thin film resistor etch
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
JP3962722B2 (ja) * 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
KR100611012B1 (ko) * 2003-12-27 2006-08-10 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP4386753B2 (ja) * 2004-02-19 2009-12-16 キヤノンアネルバ株式会社 ウェハーステージ及びプラズマ処理装置
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4426343B2 (ja) * 2004-03-08 2010-03-03 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
EP2479783B1 (en) * 2004-06-21 2018-12-12 Tokyo Electron Limited Plasma processing apparatus and method
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US20060008594A1 (en) * 2004-07-12 2006-01-12 Kang Sung G Plasma enhanced chemical vapor deposition system for forming carbon nanotubes
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4460418B2 (ja) * 2004-10-13 2010-05-12 東京エレクトロン株式会社 シールド体および真空処理装置
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
JP4537878B2 (ja) * 2005-04-01 2010-09-08 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060236935A1 (en) * 2005-04-25 2006-10-26 Von Ardenne Anlagentechnik Gmbh Coating installation with coolable diaphragm
EP3521878A1 (en) * 2005-06-15 2019-08-07 Corning Incorporated High sbs threshold optical fiber with aluminium dopant
US7130514B1 (en) 2005-06-15 2006-10-31 Corning Incorporated High SBS threshold optical fiber
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
KR100819530B1 (ko) * 2006-03-03 2008-04-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치 및 플라즈마 처리실 내 부재의 형성방법
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR100775595B1 (ko) * 2006-04-05 2007-11-09 세메스 주식회사 삽입체를 구비한 플라즈마 에싱장치
JP5337482B2 (ja) * 2006-05-09 2013-11-06 株式会社アルバック 薄膜製造装置
JP4914119B2 (ja) * 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
KR100907438B1 (ko) * 2007-01-15 2009-07-14 (주)제이하라 플라즈마 발생장치
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
KR100941070B1 (ko) * 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8492674B2 (en) * 2007-08-10 2013-07-23 Quantum Global Technologies, Llc Methods and apparatus for ex situ seasoning of electronic device manufacturing process components
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
DE102008009624A1 (de) * 2008-02-18 2009-08-20 Cs Clean Systems Ag Verfahren und Vorrichtung zur Reinigung der Abgase einer Prozessanlage
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
BRPI0803774B1 (pt) * 2008-06-11 2018-09-11 Univ Federal De Santa Catarina Ufsc processo e reator de plasma para tratamento de peças metálicas
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2010238944A (ja) * 2009-03-31 2010-10-21 Panasonic Corp プラズマ処理装置
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
DE102009025971A1 (de) * 2009-06-15 2010-12-16 Aixtron Ag Verfahren zum Einrichten eines Epitaxie-Reaktors
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
US8512225B2 (en) * 2009-07-21 2013-08-20 Wing Pow International Corp. Plated glass dildo
WO2011042949A1 (ja) * 2009-10-05 2011-04-14 株式会社島津製作所 表面波プラズマcvd装置および成膜方法
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
WO2011081645A2 (en) 2009-12-15 2011-07-07 Lam Research Corporation Adjusting substrate temperature to improve cd uniformity
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US8430970B2 (en) * 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
CN102376604B (zh) * 2010-08-19 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 真空加工设备及其温度控制方法、半导体器件加工方法
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
KR101885102B1 (ko) * 2011-09-01 2018-09-11 세메스 주식회사 안테나 유닛 및 이를 포함하는 기판 처리 장치
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
US8709270B2 (en) * 2011-12-13 2014-04-29 Intermolecular, Inc. Masking method and apparatus
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP5956933B2 (ja) * 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9978568B2 (en) 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
US9831111B2 (en) 2014-02-12 2017-11-28 Applied Materials, Inc. Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
CN105992448B (zh) * 2015-02-02 2019-04-23 北京北方华创微电子装备有限公司 等离子体产生装置和具有其的半导体设备
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
JP6749258B2 (ja) * 2017-01-31 2020-09-02 東京エレクトロン株式会社 マイクロ波プラズマ源、マイクロ波プラズマ処理装置、およびプラズマ処理方法
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
CN108461420B (zh) * 2018-01-31 2020-07-24 武汉华星光电半导体显示技术有限公司 刻蚀设备
JP7373302B2 (ja) * 2019-05-15 2023-11-02 株式会社Screenホールディングス 基板処理装置
KR102596797B1 (ko) * 2021-11-02 2023-11-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPS5667925A (en) 1979-11-05 1981-06-08 Nippon Telegr & Teleph Corp <Ntt> Plasma etching method
JPS5723228A (en) 1980-07-16 1982-02-06 Mitsubishi Electric Corp Dry etching device
US4367114A (en) * 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
JPS58128728A (ja) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd 半導体気相成長装置
JPS58153332A (ja) * 1982-03-08 1983-09-12 Mitsubishi Electric Corp ドライエツチング装置
JPS59144132A (ja) * 1983-02-07 1984-08-18 Hitachi Ltd 反応装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPH0682642B2 (ja) * 1985-08-09 1994-10-19 株式会社日立製作所 表面処理装置
JPS6295828A (ja) 1985-10-23 1987-05-02 Hitachi Ltd プラズマ処理装置
JP2641193B2 (ja) 1986-03-20 1997-08-13 キヤノン株式会社 堆積膜製造装置
JPS635526A (ja) 1986-06-25 1988-01-11 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPS6375034A (ja) 1986-09-18 1988-04-05 Agency Of Ind Science & Technol 付加硬化型可溶性イミドオリゴマ及びそれを用いた繊維強化複合材料用中間素材
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4853102A (en) * 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH01208449A (ja) * 1988-02-13 1989-08-22 Kobe Steel Ltd ダブルチャンバ真空成膜装置
JPH01220447A (ja) 1988-02-28 1989-09-04 Nec Kyushu Ltd プラズマ装置
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US4890573A (en) * 1988-07-25 1990-01-02 Technadyne Engineering Corporation System for applying thermal-cure materials
JP2644309B2 (ja) * 1988-11-04 1997-08-25 株式会社東芝 半導体製造装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3073207B2 (ja) 1989-08-02 2000-08-07 沖電気工業株式会社 プラズマ処理方法
JPH03104222A (ja) * 1989-09-19 1991-05-01 Fuji Electric Co Ltd プラズマ処理装置
JP2714178B2 (ja) * 1989-09-20 1998-02-16 株式会社日立製作所 真空処理装置
US6068784A (en) 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
DE4007123A1 (de) 1990-03-07 1991-09-12 Siegfried Dipl Ing Dr Straemke Plasma-behandlungsvorrichtung
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
US5279683A (en) * 1990-06-20 1994-01-18 Kawasaki Steel Corporation Method of producing high-strength cold-rolled steel sheet suitable for working
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP2794936B2 (ja) 1990-11-20 1998-09-10 富士電機株式会社 プラズマ処理装置
JP2949874B2 (ja) 1990-11-21 1999-09-20 富士電機株式会社 Ecrプラズマcvd装置ドライクリーニングの方法
JPH04196528A (ja) 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
JP3044824B2 (ja) 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP2973629B2 (ja) 1991-08-30 1999-11-08 アイシン精機株式会社 油圧ソレノイド
JPH05144773A (ja) * 1991-11-19 1993-06-11 Sumitomo Metal Ind Ltd プラズマエツチング装置
JPH05211125A (ja) 1992-01-30 1993-08-20 Nec Corp 気相成長装置
JP3181364B2 (ja) 1992-03-25 2001-07-03 東京エレクトロン株式会社 プラズマ処理装置
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
JPH05266845A (ja) * 1992-03-16 1993-10-15 Nissin Electric Co Ltd イオン源
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3085427B2 (ja) * 1992-09-18 2000-09-11 株式会社日立製作所 プラズマエッチング方法
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JP3242166B2 (ja) 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JPH06188220A (ja) 1992-12-18 1994-07-08 Hitachi Ltd マイクロ波プラズマ処理方法及び装置
JP3079818B2 (ja) 1992-12-25 2000-08-21 富士電機株式会社 プラズマ処理装置
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
JP3055847B2 (ja) 1993-07-02 2000-06-26 東京エレクトロン株式会社 減圧処理装置
TW262566B (ja) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5647945A (en) 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
EP0648861A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Semiconductor processing apparatus
EP0648858A1 (en) 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JPH07147247A (ja) 1993-11-26 1995-06-06 Tokyo Electron Ltd 処理装置
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5429989A (en) * 1994-02-03 1995-07-04 Motorola, Inc. Process for fabricating a metallization structure in a semiconductor device
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5472086A (en) * 1994-03-11 1995-12-05 Holliday; James E. Enclosed sealable purgible semiconductor wafer holder
JP3210207B2 (ja) 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3171222B2 (ja) * 1994-06-14 2001-05-28 日本電気株式会社 マイクロ波プラズマ処理装置
US5545258A (en) * 1994-06-14 1996-08-13 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3380824B2 (ja) 1994-08-08 2003-02-24 三菱電機株式会社 半導体処理装置
US5614247A (en) * 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
JPH08144072A (ja) 1994-11-18 1996-06-04 Nec Corp ドライエッチング装置
JPH08186093A (ja) 1994-12-28 1996-07-16 Hitachi Ltd プラズマ処理装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW297135B (ja) 1995-03-20 1997-02-01 Hitachi Ltd
JPH08316205A (ja) 1995-05-19 1996-11-29 Hitachi Ltd プラズマ処理方法及びプラズマ処理装置
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
KR100290813B1 (ko) 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
JPH09157832A (ja) 1995-11-30 1997-06-17 Sony Corp 防着板およびそれを用いた真空装置
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
TW312815B (ja) 1995-12-15 1997-08-11 Hitachi Ltd
US5843277A (en) * 1995-12-22 1998-12-01 Applied Komatsu Technology, Inc. Dry-etch of indium and tin oxides with C2H5I gas
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JPH09275092A (ja) 1996-04-05 1997-10-21 Sony Corp プラズマ処理装置
JP2943691B2 (ja) 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3249400B2 (ja) 1996-09-17 2002-01-21 九州三井アルミニウム工業株式会社 耐プラズマ性アルミニウム合金を用いたプラズマ処理装置
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
JP3104222B2 (ja) 1997-05-19 2000-10-30 ソニー株式会社 光ディスク装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6088784A (en) * 1999-03-30 2000-07-11 Sandcraft, Inc. Processor with multiple execution units and local and global register bypasses

Also Published As

Publication number Publication date
EP0732729A2 (en) 1996-09-18
JPH08255783A (ja) 1996-10-01
US20100140224A1 (en) 2010-06-10
US20030203640A1 (en) 2003-10-30
KR960035788A (ko) 1996-10-28
US7208422B2 (en) 2007-04-24
US6815365B2 (en) 2004-11-09
US20020043338A1 (en) 2002-04-18
US20020005252A1 (en) 2002-01-17
US20090289035A1 (en) 2009-11-26
EP0732729A3 (en) 1997-03-26
SG52614A1 (en) 1998-09-28
US6171438B1 (en) 2001-01-09
US20040009617A1 (en) 2004-01-15
US20030024646A1 (en) 2003-02-06
US5874012A (en) 1999-02-23
US7565879B2 (en) 2009-07-28
US20020119670A1 (en) 2002-08-29
US20050064717A1 (en) 2005-03-24
US20020042206A1 (en) 2002-04-11
US20050039683A1 (en) 2005-02-24
KR100303615B1 (ko) 2001-11-30
EP0881662A1 (en) 1998-12-02
US20040016508A1 (en) 2004-01-29
US20040045675A1 (en) 2004-03-11
US20060249254A1 (en) 2006-11-09
TW322202U (en) 1997-12-01

Similar Documents

Publication Publication Date Title
JP3257328B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3243740B2 (ja) 工程の安定性を得るために温度制御を行うホット・ウォール反応性イオン・エッチング
RU2237314C2 (ru) Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере
US5895586A (en) Plasma processing apparatus and plasma processing method in which a part of the processing chamber is formed using a pre-fluorinated material of aluminum
JP3411539B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR100471728B1 (ko) 플라즈마 처리장치
JP4373338B2 (ja) 消耗品の寿命を判断する方法及び装置
JP3689732B2 (ja) プラズマ処理装置の監視装置
JP2002506286A (ja) 半導体処理システムにおける漏電遮断の防止
JP4554815B2 (ja) 汚染制御方法およびプラズマ処理チャンバ
JP3534716B2 (ja) プラズマ処理方法
JP3534660B2 (ja) プラズマ処理装置
JP2000173985A (ja) プラズマ処理装置及びプラズマ処理方法
JP3218917B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2005150606A (ja) プラズマ処理装置
JP4105641B2 (ja) プラズマ処理装置及び試料の処理方法
JP2007142175A (ja) プラズマプロセス方法およびプラズマプロセス装置
JP2008034885A (ja) プラズマ処理装置及びプラズマ処理方法
JP4690837B2 (ja) 試料載置電極の温度制御方法及び温度制御装置
JP3699416B2 (ja) プラズマ処理装置
JP2022118626A (ja) 処理容器とプラズマ処理装置、及び処理容器の製造方法
JP2016225579A (ja) プラズマ処理装置およびプラズマ処理方法
JP2021197378A (ja) エッチング方法及び基板処理装置
JPH07273091A (ja) プラズマプロセス装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071207

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081207

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees