KR960035788A - 플라즈마처리장치 및 플라즈마처리방법 - Google Patents

플라즈마처리장치 및 플라즈마처리방법 Download PDF

Info

Publication number
KR960035788A
KR960035788A KR1019960006945A KR19960006945A KR960035788A KR 960035788 A KR960035788 A KR 960035788A KR 1019960006945 A KR1019960006945 A KR 1019960006945A KR 19960006945 A KR19960006945 A KR 19960006945A KR 960035788 A KR960035788 A KR 960035788A
Authority
KR
South Korea
Prior art keywords
plasma processing
plasma
inner cylinder
outer cylinder
sample
Prior art date
Application number
KR1019960006945A
Other languages
English (en)
Other versions
KR100303615B1 (ko
Inventor
사부로 가나이
가즈에 다까하시
고이찌 오까무라
료지 하마사끼
사또시 이또
Original Assignee
가나이 쯔도무
가부시키가이샤 히다치 세사쿠쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가나이 쯔도무, 가부시키가이샤 히다치 세사쿠쇼 filed Critical 가나이 쯔도무
Publication of KR960035788A publication Critical patent/KR960035788A/ko
Application granted granted Critical
Publication of KR100303615B1 publication Critical patent/KR100303615B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32504Means for preventing sputtering of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Abstract

본 발명은 플라즈마처리장치에 관한 것이다. 본 장치에서, 처리실의 내표면이 플라즈마에 의해 변질되거나 중금속오염원으로 되는 것이 방지되는 동시에, 시간경과에 따른 플라즈마특성이 안정화된다. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에서, 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 및 가열기를 포함하며, 상기 외측실린더에서는 온도제어수단이 마련된다. 중금속을 함유하지 않은 비자성금속자료, 세라믹, 탄소, 실리콘, 또는 석영이 내측실린더에 사용된다. 가열기 및 온도제어수단을 사용하여 외측실린더를 가열함으로서 내측실런더의 온도가 소정값으로 제어된다. 내측실린더의 온도를 예를 들어 100℃ 내지 350℃로 제어함으로써, 내측실린더의 표면온도가 소정값으로 유지될 수 있다.

Description

플라즈마처리장치 및 플라즈마처리방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명에 따른 마이크로파 플로즈마처리장치의 일 실시예를 도시한 부분종단면도.

Claims (29)

  1. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에 있어서: 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 및 상기 내측실린더의 온도를 소정의 온도범위내에 유지하는 온도제어수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  2. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에 있어서 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실런더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  3. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에 있어서 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 비자성 내측실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  4. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에 있어서 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 비자성 내측실린더, 및 상기 내측실린더의 온도를 소정의 온도범위내에 유지하는 온도제어수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  5. 제1 내지 제4항 중의 어느 한 항에 있어서, 상기 온도제어수단은 상기 외측실린더에 구비되는 가열기를 포함하며, 상기 가열기를 사용하여 상기 외측실린더의 온도가 상온으로부터 350℃까지 조절되는 것을 특징으로 하는 플라즈마처리장치
  6. 제1항 내지 제4항 중의 어느 한 항에 있어서, 상기 온도제어수단은 상기 외측실런더에 유체를 순환시키는 유로를 포함하며, 상기 유로에 온도제어된 유체를 순환시킴으로써 상기 외측실런더의 온도가 0℃내지 150℃의 온도로 조절되는 것을 특징으로 하는 플라즈마처리장치.
  7. 제2 또는 제3항에 있어서, 상기 전열수단은 상기 외측실린더 및 상기 내측실린더 사이의 상기 갭에 전열가스를 도입하는 전열가스공급시시템을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  8. 제7항에 있어서, 상기 전열가스공급시스템은 상기 갭의 압력을 소정압력으로 유지하는 압력제어수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  9. 제2 또는 제3항에 있어서, 상기 전열수단으로서 사용되는 금속제 유연성부재는 상기 외측실린더 및 상기 내측실린더 사이의 상기 갭에 배치되며, 상기 금속제 유연성 부재는 스프링력에 의해 상기 외측실린더 및 상기 내측실린더에 접촉되는 것을 특징으로 하는 플라즈마처리장치.
  10. 제9항에 있어서, 상기 금속제 유연성부재는 파형판인 것을 특징으로 하는 플라즈마처리장치.
  11. 제1 내지 제4항 중의 어느 한 항에 있어서, 상기 내측실린더는 상기 외측실린더로부터 분리가능한 것을 특징으로 하는 플라즈마처리장치.
  12. 제1 내지 제4항중 어느 한 항에 있어서, 상기 내측실린더는 세라믹,탄소, 실리콘, 석영 및 금속으로 구성된 그룹으로 선택된 재료로 만들어지는 것을 특징으로 하는 플라즈마처리장치.
  13. 제12항에 있어서, 상기 내측실린더는 세라믹으로 만들어지며 2mm 내지 10mm의 두께로 형성되는 것을 특징으로 하는 플라즈마처리장치.
  14. 제12항에 있어서, 상기 내측실린더는 Al2O3및 SiC로 구성된 그룹으로부터 선택된 세라믹으로 만들어지는 것을 특징으로 하는 플라즈마처리장치.
  15. 제12항에 있어서, 상기 내측실린더는 알루미늄으로 만들어지는 것을 특징으로 하는 플라즈마처리장치.
  16. 외부에너지 공급방전식의 플라즈마발생장치, 처리실, 상기 처리실에 처리가스를 공급하는 장치, 상기 처리실에 시료를 유지하는 시료테이블, 및 처리실을 감압배기하는 장치를 포함하는 플라즈마처리장치에 있어서, 상기 처리실은, 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되며 비자성재료로 형성되는 내측실린더, 및 상기 내측실린더의 온도를 소정의 온도범위내에 유지하는 온도제어 및 유지수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  17. 제16항에 있어서, 상기 플라즈발생장치 유도방전식, 자장방전식 및 마이크로파 방전식으로 구성된 그룹으로부터 선택된 방전식인 것을 특징으로 하는 플라즈마처리장치.
  18. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에 있어서 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 내측실린더의 온도를 검출하는 수단, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 것을 특징으로 하는 플라즈마처리장치.
  19. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하는 플라즈마처리장치에 있어서 : 시료커버에 갭을 개재하여 배치되는 시료테이블커버, 상기 시료테이블에 마련되는 온도제어수단, 및 상기 갭에 설치되며 상기 시료테이블 및 상기 시료테이블커버 사이의 열을 전달하는 전열수단을 더욱 포함하는 것을 특징으로 하는 플라즈마처리장치.
  20. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하여 이루어지며: 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 외측실린더에 배치되는 온도제어수단을 포함하는 플라즈마처리장치를 사용하여 시료를 처리하는 플라즈마처리방법에 있어서 : 상기 내측실린더의 온도가 소정의 온도범위내로 유지되는 동안 상기 시료에 플라즈마처리가 행해지는 것을 특징으로 하는 플라즈마처리장치.
  21. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하여 이루어지며 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 플라즈마처리장치를 사용하여 시료를 처리하는 플라즈마처리방법에 있어서 : 상기 외측실린더의 비자성재료로 만들어지고, 상기 내측실린더는 중금속을 함유하지 않은 비자성재료로 만들어지며 : 상기 내측실린더의 온도가 0℃ 내지 350℃ 온도범위내의 임의의 온도로 유지되는 동안 상기 시료에 플라즈마처리가 행해지는 것을 특징으로하는 플라즈마처리방법.
  22. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하여 이루어지며 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 플라즈마처리장치를 사용하여 시료를 처리하는 플라즈마처리방법에 있어서 : 상기 내측실린더의 중금속을 함유하지 않은 비자성재료로 만들어지고, 상기 내측실린더의 온도가 소정의 온도 범위내로 유지되는 동안 상기 시료에 플라즈마처리가 행하지며 : 상기 내측실린더의 표면이 플라즈마에의해 설정량까지 손상되는 경우 상기 내측실린더는 새로운 내측실린더로 교환되는 것을 특징으로 하는 플라즈마처리방법.
  23. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하여 이루어지며 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 플라즈마처리장치를 사용하여 시료를 처리하는 플라즈마처리방법에 있어서 : 상기 내측실린더의 온도는 시료처리개시 전, 시료처리중, 및 시료처리개시전부터 시료처리중의 어느 일주기동안 감시되며, 상기 내측실린더의 온도가 소정의 온도범위를 넘으면 상기 시료는 처리되는 않는 것을 특징으로 한는 플라즈마처리방법.
  24. 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료를 유지하는 시료테이블, 및 진공펌프장치를 포함하여 이루어지며 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 플라즈마처리장치를 사용하여 시료를 처리하는 플라즈마처리방법에 있어서 : 상기 내측실린더의 온도를 소정의 온도범위로 유지함으로써, 상기 시료를 플라즈마처리하는 플라즈마처리특성의 시간경과에 따른 변동이 억제되는 것을 특징으로 하는 플라즈마처리방법.
  25. 자장 및 마이크로파를 사용하는 플라즈마발생장치, 내압을 감소할 수 있는 처리실, 상기 처리실에 가스를 공급하는 처리가스공급장치, 시료는 유지하는 시료테이블, 및 진공펌프장치를 포함하여 이루어지며 : 상기 처리실은 감압을 견뎌내는 외측실린더, 상기 외측실린더의 내측에 갭을 개재하여 배치되는 내측 실린더, 상기 외측실린더에 배치되는 온도제어수단, 및 상기 갭에 설치되며 상기 외측실린더 및 상기 내측실린더 사이의 열을 전달하는 전열수단을 포함하는 플라즈마처리장치를 사용하여 시료를 처리하는 플라즈마처리방법에 있어서 : 상기 내측실린더의 온도를 소정의 온도범위로 유지함으로써, 상기 시료를 플라즈마처리하는 플라즈마처리특성의 시간경과에 따른 변동이 억제되는 것을 특징으로 하는 플라즈마처리방법.
  26. 에칭처리에 사용되는 가스를 플라즈마화하는 단계 : 상기 플라즈마를 사용하여 처리실에서 시료의 에칭처리를 행하는 단계 : 및 상기 시료의 각각의 상이한 재료의 에칭속도가 안정하게 되는 온도로 상기 처리실벽의 온도를 유지하는 단계를 포함하는 것을 특징으로 하는 플라즈마처리방법.
  27. 제26항에 잇어서, 복수의 상기 시료가 하나씩 에칭처리될 때, 상기 시료의 각각의 상이한 재료의 에칭속도가 안정하게 되는 온도를 상기 처리실벽의 온도가 유지되는 것을 특징으로 하는 플라즈마처리방법.
  28. 제26 또는 제27항에 있어서, 상기 시료의 산화막 및 레지스트의 에칭속도가 각각 안정하게 되는 온도로 상기 처리실벽의 온도가 유지되는 것을 특징으로 하는 플라즈마처리방법.
  29. 제28항에 있어서, 상기 산화막 및 상기 레지스트의 상기 에칭속도 중의 적어도 상기 레지스트의 에칭속도가 안정하게 되는 온도로 상기 처리실벽의 온도가 유지되며, 상기 레지스트의 에칭속도는 상기 처리실벽의 온도에 의거하여 변화하는 것을 특징으로 하는 플라즈마처리방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019960006945A 1995-03-16 1996-03-15 플라즈마처리장치및플라즈마처리방법 KR100303615B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP05747295A JP3257328B2 (ja) 1995-03-16 1995-03-16 プラズマ処理装置及びプラズマ処理方法
JP95-057472 1995-03-16

Publications (2)

Publication Number Publication Date
KR960035788A true KR960035788A (ko) 1996-10-28
KR100303615B1 KR100303615B1 (ko) 2001-11-30

Family

ID=13056650

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960006945A KR100303615B1 (ko) 1995-03-16 1996-03-15 플라즈마처리장치및플라즈마처리방법

Country Status (6)

Country Link
US (16) US5874012A (ko)
EP (2) EP0881662A1 (ko)
JP (1) JP3257328B2 (ko)
KR (1) KR100303615B1 (ko)
SG (1) SG52614A1 (ko)
TW (1) TW322202U (ko)

Families Citing this family (142)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
KR100223851B1 (ko) * 1996-09-12 1999-10-15 구본준 반도체소자 제조 공정용 폴리실리콘 식각장치
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
WO1999010913A1 (en) 1997-08-26 1999-03-04 Applied Materials, Inc. An apparatus and method for allowing a stable power transmission into a plasma processing chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP3764594B2 (ja) * 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
JP4554824B2 (ja) * 1999-03-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置,そのメンテナンス方法およびその施工方法
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6203661B1 (en) * 1999-12-07 2001-03-20 Trusi Technologies, Llc Brim and gas escape for non-contact wafer holder
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3953247B2 (ja) * 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
KR100502268B1 (ko) * 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
US6527909B2 (en) * 2000-04-27 2003-03-04 Tokyo Electron Limited Plasma processing apparatus
JP2002075969A (ja) * 2000-08-25 2002-03-15 Hitachi Ltd プラズマ処理装置
JP4447756B2 (ja) * 2000-08-28 2010-04-07 独立行政法人産業技術総合研究所 ラジカルセル装置およびii−vi族化合物半導体装置の製法
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
US6830653B2 (en) * 2000-10-03 2004-12-14 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
WO2003012567A1 (en) * 2001-07-30 2003-02-13 Tokyo Electron Limited Plasma chamber wall segment temperature control
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
JP3689732B2 (ja) * 2001-12-05 2005-08-31 株式会社日立ハイテクノロジーズ プラズマ処理装置の監視装置
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP4061062B2 (ja) * 2001-12-13 2008-03-12 ローム株式会社 半導体発光素子の製法および酸化炉
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US20030160024A1 (en) * 2002-02-27 2003-08-28 Tadayashi Kawaguchi Plasma processing method and apparatus
US20050120960A1 (en) * 2002-03-12 2005-06-09 Tokyo Electron Limited Substrate holder for plasma processing
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
KR100465877B1 (ko) * 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
KR100457844B1 (ko) * 2002-08-27 2004-11-18 삼성전자주식회사 반도체 장치의 식각 방법
JP4214114B2 (ja) * 2002-09-10 2009-01-28 東京エレクトロン株式会社 処理装置,および,処理装置のメンテナンス方法
KR100470999B1 (ko) * 2002-11-18 2005-03-11 삼성전자주식회사 유도 결합 플라즈마 식각장치의 챔버구조
JP4671262B2 (ja) * 2003-01-21 2011-04-13 日本碍子株式会社 半導体加熱装置
US20040182833A1 (en) * 2003-01-31 2004-09-23 Tokyo Electron Limited Method for manufacturing a substrate with a pre-seasoned plasma processing system
US7196472B2 (en) * 2003-03-03 2007-03-27 Matsushita Electric Industrial Co., Ltd. Plasma display panel, its manufacturing method, and its protective layer material
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
US20050003673A1 (en) * 2003-07-02 2005-01-06 Omid Mahdavi Thin film resistor etch
US20050051273A1 (en) * 2003-09-04 2005-03-10 Kenji Maeda Plasma processing apparatus
JP3962722B2 (ja) 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
KR100611012B1 (ko) * 2003-12-27 2006-08-10 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP4386753B2 (ja) * 2004-02-19 2009-12-16 キヤノンアネルバ株式会社 ウェハーステージ及びプラズマ処理装置
JP4490704B2 (ja) * 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4426343B2 (ja) * 2004-03-08 2010-03-03 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
TWI574318B (zh) * 2004-06-21 2017-03-11 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US20060008594A1 (en) * 2004-07-12 2006-01-12 Kang Sung G Plasma enhanced chemical vapor deposition system for forming carbon nanotubes
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4460418B2 (ja) * 2004-10-13 2010-05-12 東京エレクトロン株式会社 シールド体および真空処理装置
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
JP4537878B2 (ja) * 2005-04-01 2010-09-08 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060236935A1 (en) * 2005-04-25 2006-10-26 Von Ardenne Anlagentechnik Gmbh Coating installation with coolable diaphragm
JP5410750B2 (ja) 2005-06-15 2014-02-05 コーニング インコーポレイテッド アルミニウムドーパントを含む高sbs閾値光ファイバ
US7130514B1 (en) 2005-06-15 2006-10-31 Corning Incorporated High SBS threshold optical fiber
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
KR100819530B1 (ko) * 2006-03-03 2008-04-04 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭장치 및 플라즈마 처리실 내 부재의 형성방법
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
KR100775595B1 (ko) * 2006-04-05 2007-11-09 세메스 주식회사 삽입체를 구비한 플라즈마 에싱장치
CN101466866B (zh) * 2006-05-09 2012-10-03 株式会社爱发科 薄膜制造装置及薄膜制造装置用内部块
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
KR100907438B1 (ko) * 2007-01-15 2009-07-14 (주)제이하라 플라즈마 발생장치
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
US20080169183A1 (en) * 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
KR100941070B1 (ko) * 2007-05-10 2010-02-09 세메스 주식회사 플라즈마를 이용하여 기판을 처리하는 장치
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
SG2012059440A (en) * 2007-08-10 2014-03-28 Quantum Global Tech Llc Methods and apparatus for ex situ seasoning of electronic device manufacturing process components
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
DE102008009624A1 (de) * 2008-02-18 2009-08-20 Cs Clean Systems Ag Verfahren und Vorrichtung zur Reinigung der Abgase einer Prozessanlage
US9520275B2 (en) 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
BRPI0803774B1 (pt) * 2008-06-11 2018-09-11 Univ Federal De Santa Catarina Ufsc processo e reator de plasma para tratamento de peças metálicas
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2010238944A (ja) * 2009-03-31 2010-10-21 Panasonic Corp プラズマ処理装置
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
DE102009025971A1 (de) * 2009-06-15 2010-12-16 Aixtron Ag Verfahren zum Einrichten eines Epitaxie-Reaktors
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
US8512225B2 (en) * 2009-07-21 2013-08-20 Wing Pow International Corp. Plated glass dildo
WO2011042949A1 (ja) * 2009-10-05 2011-04-14 株式会社島津製作所 表面波プラズマcvd装置および成膜方法
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US8642480B2 (en) * 2009-12-15 2014-02-04 Lam Research Corporation Adjusting substrate temperature to improve CD uniformity
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
TWI502617B (zh) 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US8430970B2 (en) * 2010-08-09 2013-04-30 Lam Research Corporation Methods for preventing corrosion of plasma-exposed yttria-coated constituents
CN102376604B (zh) * 2010-08-19 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 真空加工设备及其温度控制方法、半导体器件加工方法
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP2012238629A (ja) * 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 熱処理装置
US9307578B2 (en) 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
KR101885102B1 (ko) * 2011-09-01 2018-09-11 세메스 주식회사 안테나 유닛 및 이를 포함하는 기판 처리 장치
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
US8709270B2 (en) * 2011-12-13 2014-04-29 Intermolecular, Inc. Masking method and apparatus
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP5956933B2 (ja) * 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9978568B2 (en) 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
US9831111B2 (en) * 2014-02-12 2017-11-28 Applied Materials, Inc. Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
CN105992448B (zh) * 2015-02-02 2019-04-23 北京北方华创微电子装备有限公司 等离子体产生装置和具有其的半导体设备
US10435789B2 (en) * 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
JP6749258B2 (ja) 2017-01-31 2020-09-02 東京エレクトロン株式会社 マイクロ波プラズマ源、マイクロ波プラズマ処理装置、およびプラズマ処理方法
US10784091B2 (en) * 2017-09-29 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Process and related device for removing by-product on semiconductor processing chamber sidewalls
CN108461420B (zh) * 2018-01-31 2020-07-24 武汉华星光电半导体显示技术有限公司 刻蚀设备
JP7373302B2 (ja) * 2019-05-15 2023-11-02 株式会社Screenホールディングス 基板処理装置
KR102596797B1 (ko) * 2021-11-02 2023-11-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
WO2023239542A1 (en) * 2022-06-07 2023-12-14 Lam Research Corporation Vacuum-insulated, heated reactor construction

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPS5667925A (en) 1979-11-05 1981-06-08 Nippon Telegr & Teleph Corp <Ntt> Plasma etching method
JPS5723228A (en) 1980-07-16 1982-02-06 Mitsubishi Electric Corp Dry etching device
US4367114A (en) * 1981-05-06 1983-01-04 The Perkin-Elmer Corporation High speed plasma etching system
JPS58128728A (ja) * 1982-01-28 1983-08-01 Toshiba Mach Co Ltd 半導体気相成長装置
JPS58153332A (ja) * 1982-03-08 1983-09-12 Mitsubishi Electric Corp ドライエツチング装置
JPS59144132A (ja) * 1983-02-07 1984-08-18 Hitachi Ltd 反応装置
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPH0682642B2 (ja) * 1985-08-09 1994-10-19 株式会社日立製作所 表面処理装置
JPS6295828A (ja) 1985-10-23 1987-05-02 Hitachi Ltd プラズマ処理装置
JP2641193B2 (ja) 1986-03-20 1997-08-13 キヤノン株式会社 堆積膜製造装置
JPS635526A (ja) 1986-06-25 1988-01-11 Matsushita Electric Ind Co Ltd ドライエツチング装置
US4812201A (en) * 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
JPS6375034A (ja) 1986-09-18 1988-04-05 Agency Of Ind Science & Technol 付加硬化型可溶性イミドオリゴマ及びそれを用いた繊維強化複合材料用中間素材
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4853102A (en) * 1987-01-07 1989-08-01 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
JPH01208449A (ja) * 1988-02-13 1989-08-22 Kobe Steel Ltd ダブルチャンバ真空成膜装置
JPH01220447A (ja) 1988-02-28 1989-09-04 Nec Kyushu Ltd プラズマ装置
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US4890573A (en) * 1988-07-25 1990-01-02 Technadyne Engineering Corporation System for applying thermal-cure materials
JP2644309B2 (ja) * 1988-11-04 1997-08-25 株式会社東芝 半導体製造装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH02298024A (ja) 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JP3073207B2 (ja) 1989-08-02 2000-08-07 沖電気工業株式会社 プラズマ処理方法
JPH03104222A (ja) * 1989-09-19 1991-05-01 Fuji Electric Co Ltd プラズマ処理装置
JP2714178B2 (ja) * 1989-09-20 1998-02-16 株式会社日立製作所 真空処理装置
US6068784A (en) 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
DE4007123A1 (de) 1990-03-07 1991-09-12 Siegfried Dipl Ing Dr Straemke Plasma-behandlungsvorrichtung
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5356672A (en) * 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
US5279683A (en) * 1990-06-20 1994-01-18 Kawasaki Steel Corporation Method of producing high-strength cold-rolled steel sheet suitable for working
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5223113A (en) * 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP2794936B2 (ja) 1990-11-20 1998-09-10 富士電機株式会社 プラズマ処理装置
JP2949874B2 (ja) 1990-11-21 1999-09-20 富士電機株式会社 Ecrプラズマcvd装置ドライクリーニングの方法
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
JP3044824B2 (ja) 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
KR0155572B1 (ko) * 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
JP2973629B2 (ja) 1991-08-30 1999-11-08 アイシン精機株式会社 油圧ソレノイド
JPH05144773A (ja) * 1991-11-19 1993-06-11 Sumitomo Metal Ind Ltd プラズマエツチング装置
JPH05211125A (ja) 1992-01-30 1993-08-20 Nec Corp 気相成長装置
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
JP3181364B2 (ja) 1992-03-25 2001-07-03 東京エレクトロン株式会社 プラズマ処理装置
JPH05266845A (ja) * 1992-03-16 1993-10-15 Nissin Electric Co Ltd イオン源
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JP3085427B2 (ja) * 1992-09-18 2000-09-11 株式会社日立製作所 プラズマエッチング方法
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JP3242166B2 (ja) 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US5382311A (en) * 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JPH06188220A (ja) 1992-12-18 1994-07-08 Hitachi Ltd マイクロ波プラズマ処理方法及び装置
JP3079818B2 (ja) 1992-12-25 2000-08-21 富士電機株式会社 プラズマ処理装置
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
TW262566B (ko) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
JP3055847B2 (ja) 1993-07-02 2000-06-26 東京エレクトロン株式会社 減圧処理装置
US5531834A (en) 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5647945A (en) 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
EP0648858A1 (en) 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
EP0648861A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Semiconductor processing apparatus
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
JPH07147247A (ja) 1993-11-26 1995-06-06 Tokyo Electron Ltd 処理装置
JP2659919B2 (ja) * 1994-01-13 1997-09-30 インターナショナル・ビジネス・マシーンズ・コーポレイション プラズマの不均一性を補正するプラズマ装置
US5429989A (en) * 1994-02-03 1995-07-04 Motorola, Inc. Process for fabricating a metallization structure in a semiconductor device
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5472086A (en) * 1994-03-11 1995-12-05 Holliday; James E. Enclosed sealable purgible semiconductor wafer holder
JP3210207B2 (ja) 1994-04-20 2001-09-17 東京エレクトロン株式会社 プラズマ処理装置
TW299559B (ko) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3171222B2 (ja) 1994-06-14 2001-05-28 日本電気株式会社 マイクロ波プラズマ処理装置
US5545258A (en) * 1994-06-14 1996-08-13 Sumitomo Metal Industries, Ltd. Microwave plasma processing system
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3380824B2 (ja) 1994-08-08 2003-02-24 三菱電機株式会社 半導体処理装置
US5614247A (en) * 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
JPH08144072A (ja) 1994-11-18 1996-06-04 Nec Corp ドライエッチング装置
JPH08186093A (ja) 1994-12-28 1996-07-16 Hitachi Ltd プラズマ処理装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW297135B (ko) 1995-03-20 1997-02-01 Hitachi Ltd
JPH08316205A (ja) 1995-05-19 1996-11-29 Hitachi Ltd プラズマ処理方法及びプラズマ処理装置
JP3585578B2 (ja) * 1995-05-30 2004-11-04 アネルバ株式会社 プラズマ処理装置
US5589041A (en) * 1995-06-07 1996-12-31 Sony Corporation Plasma sputter etching system with reduced particle contamination
US5716451A (en) 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
JPH09157832A (ja) 1995-11-30 1997-06-17 Sony Corp 防着板およびそれを用いた真空装置
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
TW312815B (ko) 1995-12-15 1997-08-11 Hitachi Ltd
US5843277A (en) * 1995-12-22 1998-12-01 Applied Komatsu Technology, Inc. Dry-etch of indium and tin oxides with C2H5I gas
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JPH09275092A (ja) 1996-04-05 1997-10-21 Sony Corp プラズマ処理装置
JP2943691B2 (ja) 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
JP3249400B2 (ja) 1996-09-17 2002-01-21 九州三井アルミニウム工業株式会社 耐プラズマ性アルミニウム合金を用いたプラズマ処理装置
US6055927A (en) 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
JP3104222B2 (ja) 1997-05-19 2000-10-30 ソニー株式会社 光ディスク装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US6088784A (en) * 1999-03-30 2000-07-11 Sandcraft, Inc. Processor with multiple execution units and local and global register bypasses

Also Published As

Publication number Publication date
US6815365B2 (en) 2004-11-09
US20050039683A1 (en) 2005-02-24
US7565879B2 (en) 2009-07-28
US20030024646A1 (en) 2003-02-06
US7208422B2 (en) 2007-04-24
US5874012A (en) 1999-02-23
EP0732729A2 (en) 1996-09-18
US20020042206A1 (en) 2002-04-11
US20050064717A1 (en) 2005-03-24
JP3257328B2 (ja) 2002-02-18
KR100303615B1 (ko) 2001-11-30
TW322202U (en) 1997-12-01
US20020005252A1 (en) 2002-01-17
US20090289035A1 (en) 2009-11-26
US6171438B1 (en) 2001-01-09
US20040016508A1 (en) 2004-01-29
US20060249254A1 (en) 2006-11-09
US20020119670A1 (en) 2002-08-29
JPH08255783A (ja) 1996-10-01
US20100140224A1 (en) 2010-06-10
US20020043338A1 (en) 2002-04-18
US20040045675A1 (en) 2004-03-11
EP0881662A1 (en) 1998-12-02
US20030203640A1 (en) 2003-10-30
EP0732729A3 (en) 1997-03-26
US20040009617A1 (en) 2004-01-15
SG52614A1 (en) 1998-09-28

Similar Documents

Publication Publication Date Title
KR960035788A (ko) 플라즈마처리장치 및 플라즈마처리방법
EP0488307B1 (en) Plasma etching apparatus
KR0165898B1 (ko) 진공처리방법 및 장치
KR920003435A (ko) 판상체 지지테이블 및 그것을 이용한 처리장치
US5961851A (en) Microwave plasma discharge device
US5948283A (en) Method and apparatus for enhancing outcome uniformity of direct-plasma processes
KR20010071880A (ko) 플라즈마 처리장치 및 방법
JP2000216140A (ja) ウエハステ―ジおよびウエハ処理装置
US6736930B1 (en) Microwave plasma processing apparatus for controlling a temperature of a wavelength reducing member
US6666949B1 (en) Uniform temperature workpiece holder
KR970017985A (ko) 박막 증착장치
JP3218917B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2923879B2 (ja) プラズマ処理装置
JP3534716B2 (ja) プラズマ処理方法
JPH03102820A (ja) 真空処理装置
JPH11333202A (ja) 薄膜装置
JPH09195037A (ja) 加熱冷却装置及びこれを用いた真空処理装置
JPH06188220A (ja) マイクロ波プラズマ処理方法及び装置
JP2613296B2 (ja) 真空処理方法及び装置
KR970015757A (ko) 고진공 소결 및 열처리장치
JP3534660B2 (ja) プラズマ処理装置
JP2000082694A (ja) プラズマ処理装置
JP4105641B2 (ja) プラズマ処理装置及び試料の処理方法
JPH07142197A (ja) 電磁波透過体
JP2734212B2 (ja) プラズマプロセス装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120621

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee