JP3242166B2 - エッチング装置 - Google Patents

エッチング装置

Info

Publication number
JP3242166B2
JP3242166B2 JP31027992A JP31027992A JP3242166B2 JP 3242166 B2 JP3242166 B2 JP 3242166B2 JP 31027992 A JP31027992 A JP 31027992A JP 31027992 A JP31027992 A JP 31027992A JP 3242166 B2 JP3242166 B2 JP 3242166B2
Authority
JP
Japan
Prior art keywords
etching
semiconductor wafer
film
sio
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP31027992A
Other languages
English (en)
Other versions
JPH06163467A (ja
Inventor
雅之 児島
儀一 伊東
一石 富田
茂樹 戸澤
俊一 飯室
正司 荒沢
栄一 西村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Hitachi Ltd
Original Assignee
Tokyo Electron Ltd
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Hitachi Ltd filed Critical Tokyo Electron Ltd
Priority to JP31027992A priority Critical patent/JP3242166B2/ja
Priority to KR1019930024796A priority patent/KR100234661B1/ko
Priority to US08/154,566 priority patent/US5445709A/en
Publication of JPH06163467A publication Critical patent/JPH06163467A/ja
Priority to US08/623,737 priority patent/US5766498A/en
Application granted granted Critical
Publication of JP3242166B2 publication Critical patent/JP3242166B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はエッチング装置に関し、
特にエッチングにより形成される壁面のテーパ角を85
〜90°でエッチングできるように構成したエッチング
装置に係わる。
【0002】
【従来の技術】従来から、半導体製造のパターン形成工
程では、半導体ウェハ上に積層された薄膜上にレジスト
塗布し、所望のパターン状のマスクを透して露光、現像
してレジスト膜を所望のパターン状にする。その後、レ
ジスト膜が除去されて露出した薄膜部分のエッチングを
行ない、薄膜を所望のパターン状に形成した後、パター
ン形状に形成された薄膜上に残存するレジストを除去
し、薄膜のパターン形成を終了している。このようなパ
ターン形成工程におけるエッチングでは、減圧下で活性
ガスプラズマにより半導体ウェハや、LCD基板等のエ
ッチングを行なうドライエッチング装置が用いられてい
る。ドライエッチング装置におけるプラズマ処理は、高
温を要せず低温処理できるため、広く採用されている。
【0003】このようなエッチング装置は、マイクロ波
によって生成したプラズマ中の電子を磁気コイルで制御
して、半導体ウェハが設置された反応室に導き、反応ガ
スを分解してプラズマを発生させ、エッチングを行うE
CRや、反応室内に2枚の平板電極を対向させヒータを
内蔵した一方の電極板上に半導体ウェハを設置し、低圧
反応ガスのプラズマを発生させて半導体ウェハのエッチ
ング処理を行う平行平板型プラズマ装置等がある。
【0004】平行平板型のプラズマ装置は、図3に示す
ように、上部電極1及び上部電極1に対向して設けられ
る下部電極2を備えた反応容器3を有する。上部電極1
は、反応容器3の外側に設けられた上下動機構4に接続
された支持体5に空隙6を有して支持され、多数の反応
ガス噴出口7を備えて形成される。そして、この空隙6
に反応ガス供給管8を介して反応ガス供給体9が接続さ
れ、上部電極1の噴出口7を通して反応容器3内に反応
ガスが供給される。反応ガス噴出口7は、上部電極1の
下方に対向して設けられる下部電極2に載置される半導
体ウェハ10の径より大きい径の範囲に亘って設けら
れ、反応ガスが半導体ウェハ10全面に供給されるよう
になっている。半導体ウェハ10を載置する下部電極2
には、ヒータや冷媒循環路が埋設されて、半導体ウェハ
10を加熱、冷却して温度調整する。下部電極2の周縁
部には、半導体ウェハ10の周縁部を押圧して保持する
環状のクランプリング12が配置され、複数本のシャフ
ト13及び反応容器3の外部に設けられたリング14を
介してエアーシリンダ等の上下動機構15に接続されて
上下動し、半導体ウェハ10の処理時に下降して半導体
ウェハ10を支持し、搬送時には上昇して支持を解除し
て半導体ウェハ10を搬入出可能にする。
【0005】更に、下部電極2の側部から反応容器3の
内壁までの間隙には、複数の排気孔16を備えた排気リ
ング17が嵌合され、排気リング17の下方に設けられ
る複数例えば2の排気口18及び排気口18に接続され
る排気管19を介して排気装置(図示せず)に連結され
る。この排気リング17はその下方の排気領域20と、
上部電極1及び下部電極2間にRF電源21を印加して
半導体ウェハ10をエッチング処理するプラズマ発生領
域22とを分離して、両領域の圧力差を維持し、それに
より反応ガス流をコントロールすると共に、プラズマ発
生領域22のプラズマ濃度を均一に保持することができ
るようになっている。
【0006】
【発明が解決しようとする課題】このようなプラズマ装
置におけるエッチング処理、例えば半導体ウェハ(S
i)上に形成されたSiO2膜、あるいは不純物がドー
ピングされたSiO2膜等をエッチングする場合におい
ては、エッチング状態が半導体ウェハ全面に亘り均一で
あること、またエッチングの形状が、図4に示すよう
に、エッチングにより形成される壁面23が水平面とな
す角、即ちテーパ角θが垂直であることが高品位な製品
を得る上で重要な問題となっている。
【0007】ここで、SiO2膜等を例えば反応ガスと
してHe、CHF3、CF4、Ar等を用いてエッチング
する場合、エッチングにより形成される壁面23に、エ
ッチングされたレジスト、SiO2等の反応生成物が、
再び付着してしまう。これは上部電極1の温度が高い
と、反応生成物はより温度の低い方へ付着する傾向が大
きくなる。このため、図5示すように、上部電極1と支
持体5が形成する間隙6に、冷媒循環路(図示せず)を
備えた冷却板24を設け、上部電極1を冷却し反応生成
物が壁面23に付着するのを防止していた。しかし、冷
却板24は上部電極1の反応ガス噴出口7が穿設される
中央部分には接触させることができず、周囲に接して設
置されるため、上部電極1の中央部は周辺部と比較して
温度が高くなり、半導体ウェハの中央部にエッチングの
照準を合わせると、周辺部は過剰処理されてしまった。
加えて反応ガス噴出口7から供給される反応ガスが温度
差のある上部電極1から供給されると、不均一な処理を
促進させてしまった。特に大口径の半導体ウェハの場
合、全面を均一に処理を行なうのは困難であった。
【0008】一方、Arガスはエッチングによる反応生
成物の壁面23の付着を阻止する作用をする。そのた
め、Arガスの割合を多くすると、エッチングにより形
成される壁面23の形状は向上される。しかも、Arガ
スは放電を安定化する作用も有するため、半導体ウェハ
全面に亘って均一のエッチング形状が得られる。
【0009】しかしながら、Arガスの割合を多くする
と、エッチングのSiO2とSiとの選択性が低下する
ため、エッチングがSiO2膜の下層のSi(半導体ウ
ェハ)まで及んでしまうことがあった。また、Arガス
は高価なためランニングコストが高くなってしまった。
【0010】本発明は、上記の改善点を解消するために
なされたものであって、被処理体の全面に亘り均一なエ
ッチングが成され、エッチング形状も向上し、しかもラ
ンニングコストを抑え、品質的にも、経済的でもあるエ
ッチング装置を提供することを目的とする。
【0011】
【課題を解決するための手段】この目的を達成するた
め、本発明のエッチング装置は、複数の反応ガス噴出口
を有した上部電極及び下部電極を設けた反応室を備え、
反応ガス噴出口から供給される反応ガスをプラズマ化し
て下部電極上に載置されたSiO2膜あるいは不純物が
ドーピングされたSiO2膜をエッチング処理するエッ
チング装置において、上部電極の反応ガス噴出有効径の
SiO2膜あるいは不純物がドーピングされたSiO2
の径に対する割合を0.79以上、0.88以下とし、
SiO2膜あるいは不純物がドーピングされたSiO2
のエッチングにより形成される壁面のテーパ角85〜
90°である。
【0012】
【作用】このエッチング装置において、SiO2膜ある
いは不純物がドーピングされたSiO2膜のエッチング
処理を行う反応室内に、対向して設けられる平行平板型
の上部電極と下部電極間に印加して、真空にした反応室
内に上部電極に設けられた反応ガス噴出口から導入され
る所望量の反応ガスのプラズマを発生させ、下部電極上
に載置したSiO2膜あるいは不純物がドーピングされ
たSiO2膜の処理を行う。上部電極の反応ガス噴出有
効径のSiO2膜あるいは不純物がドーピングされたS
iO2膜の径に対する割合を0.79以上、0.88以
下とすることにより、反応ガスの噴出速度を上げて、強
い力で噴射させる。このため、高価なArガスを多量に
用いなくともエッチング壁面に反応生成物が付着するの
を防止して、被処理体全面に亘り均一なエッチング処理
を行なうことができる。しかも、多量のArガスを用い
ないため、酸化膜を選択的にエッチングしてエッチング
形状を向上させることができ、ランニングコストも安価
である。
【0013】
【実施例】以下、本発明のエッチング装置を半導体ウェ
ハ製造に適用した一実施例について図面を参照して説明
する。
【0014】図1に示すように、プラズマエッチング装
置Sは、放電が生じないように表面がアルマイト等の絶
縁体から成り、内部を気密に保持する反応室である反応
容器25を備え、反応容器25内にはRF電源21によ
り印加される平行平板の上部電極26及び下部電極27
が互いに対向して配置される。
【0015】アモルファスカーボン等から成る上部電極
26は、多数の反応ガス噴出口28を有し反応容器25
の上部に設けられる上下動機構29に接続された支持体
30に固定される。支持体30は反応容器25と同様に
放電が生じないよう表面がアイマイト処理された円板状
であり、その周縁部を支持して固定する上部電極26と
の間に間隙31を保持する。間隙31には反応容器25
内にHe、CHF3、CF4、Ar等の反応ガスを流量を
調整して供給する流量調整機構を備えた反応ガス供給体
32に接続される反応ガス供給管33の開口部が設けら
れ、上部電極26の反応ガス噴出口28から反応容器2
5内に反応ガスが導入されるようになっている。上部電
極26には冷媒循環路を備えた冷却板(図示せず)を設
け、必要に応じて上部電極26の冷却を行なうようにし
てもよい。
【0016】この上部電極26に対向した位置に配置さ
れる下部電極27は、上面に載置する被処理体である半
導体ウェハ10の温度調整を行なうヒータや冷媒循環路
(図示せず)が埋設される。下部電極27の周縁部には
載置した半導体ウェハ10の周縁部を固定するクランプ
リング34が配置され、クランプリング34は反応容器
25の外部に設けられるエアーシリンダ等の上下動機構
35に接続されて上下動するリング36に固定された複
数の例えば4本のシャフト37により支持され、半導体
ウェハ10を処理時には下降して固定し、搬入出時には
上昇して固定を解除するようになっている。
【0017】このような下部電極27と、反応容器25
の壁面間には例えば10°間隔で10mmφの排気孔3
8を備えた排気リング39が設けられる。排気リング3
9は、下部電極27の上面と、連続した一平面を構成す
るよう段差が生じないように設け、反応ガス流に乱れが
生じないようにして均一のガス流を得、半導体ウェハの
均一処理がなされるようにする。排気リング39は、放
電が生じないようアルマイト、セラミック、テフロン、
石英、サファイア等の絶縁材料で、厚さ10〜20mm
に形成され、内部に、例えば2〜3mmのヒータ40が
埋設される。排気リング39の下方には、排気装置(図
示せず)に接続された排気管41が排気口42に連結さ
れ、排気リング39によりプラズマ発生領域43と分離
される排気領域44が構成される。排気リング39は、
プラズマ発生領域43で生じるプラズマがプラズマ領域
43に停滞するよう、プラズマ領域43の圧力を1mm
Torr、排気領域44の圧力は10-1mmTorrと
圧力差を維持するように分離できる。しかも排気口42
が設けられる部位例えば2ヵ所に排気流が偏在しないよ
うに分散させ、プラズマ発生領域43内には均一な反応
ガス流が生じるようにして、半導体ウェハ10の均一な
処理がなされるようになっている。
【0018】尚、プラズマ発生領域43部分の反応容器
25には、電線形状に形成されたセラミックヒータ45
が埋設され、随時必要に応じて反応容器25を加熱でき
るようになっている。
【0019】ここで、このようなプラズマエッチング装
置Sの反応ガス供給体32に接続される上部電極26と
支持体30とにより形成される間隙31からプラズマ発
生領域43に反応ガスを噴出させる反応ガス噴出口28
が上部電極26に穿設される部分を反応ガス噴出有効径
rとし、反応ガス噴出有効径rが半導体ウェハ10の径
より小さくなるようにする。反応ガス噴出有効径rを半
導体ウェハ10の径より小さくすると、反応ガス供給体
32から流量を調整して供給される反応ガスが噴出口2
8から噴出される速度を速くすることができ、エッチン
グにより形成される壁面に反応生成物が付着するのを防
止する。反応ガスの噴出速度が速くなると、反応ガスが
拡がるため、エッチング時に上部電極26を下降させ下
部電極27間との間隙が狭められた場合でも、下部電極
27上の半導体ウェハ10の半径より反応ガス噴出有効
径が小さくても半導体ウェハ10全面に噴出され、半導
体ウェハ10全面に亘って均一に反応ガスが行渡り、均
一なエッチングがなされる。半導体ウェハ径と反応ガス
噴出有効径rの関係は、例えばSiO2膜が積層された
上にレジスト塗布された200mmの半導体ウェハの場
合、放電間隔10mm、反応ガスCHF3 20SCC
M、CH4 20SCCM、Ar 400SCCMで行
なうと、図2に示すような関係になり、反応ガス噴出有
効径rが半導体ウェハ径より小さいとエッチング形状が
よくなる。小さ過ぎると、テーパ角が90°を越えてし
まう。従って、テーパ角85〜90°でエッチングがな
される範囲を選択すればよい。このテーパ角85〜90
°を満たす範囲は、図2から明らかなように、上部電極
の反応ガス噴出有効径rの半導体ウェハの径に対する割
合が、0.79以上、0.88以下の範囲である。この
時のエッチング速度は半導体ウェハ10全面に亘り均一
であり、得られるエッチング形状も半導体ウェハ10全
面に亘り均一になる。
【0020】このような構成のプラズマエッチング装置
Sを用いて半導体ウェハのエッチングを行なうには、反
応容器25の開閉機構(図示せず)を介して搬送装置に
よりSiO2薄膜が積層された半導体ウェハ10を搬入
する。この時、上下動機構35により、クランプリング
34は上昇されており、半導体ウェハ10が下部電極2
7上に載置されると上下動機構35が作動してクランプ
リング34が下降して半導体ウェハ10を固定する。そ
の後、排気装置により排気口42から排気して反応容器
25内を真空にすると共に、反応容器25の上部に設け
られた上下動機構29により支持体30に支持される上
部電極26を下降させ、上部電極26及び下部電極27
間の間隔を所定の間隔、例えば数mmにセットする。そ
して、反応ガス供給体32で流量調整されたArガスの
供給量の割合が少ない反応ガスを上部電極26の反応ガ
ス噴出有効径r内に設けられた反応ガス噴出口28から
プラズマ発生領域43に供給すると共に、排気口42か
ら排気を行なってプラズマ発生領域43を所望の圧力に
保持する。
【0021】この状態で上部電極26と下部電極27間
にRF電源21から数100ワットの高周波電力を印加
し、反応ガスのプラズマを発生させる。プラズマは、半
導体ウェハ10のSiO2膜のレジスト膜で被覆されな
い部分をエッチングする。反応ガスは半導体ウェハ10
全面に亘って均一に供給され、半導体ウェハ10全面に
亘ってテーパ角90°近傍のエッチングが得られる。し
かもArガスの割合が少ないためSiO2膜を選択的に
エッチングし、Siまでエッチングすることがなく、所
望のエッチングがなされる。反応生成物は余剰の反応ガ
スと共に排気リング39の排気孔38を通って排気領域
44に導かれる。この時、反応容器25や排気リング3
9はそれぞれ埋設されるセラミックヒータ45、ヒータ
40により反応生成物が析出しない温度に加熱される。
そのため、反応容器25のプラズマ発生領域43の内壁
や排気リング39の排気孔38には、反応生成物の付着
は生じない。
【0022】なお、上記の説明は本発明の一実施例の説
明であって、本発明は半導体ウェハに限らずエッチング
装置ならば何れのものにも好適に適用できる。
【0023】
【発明の効果】以上の説明から明らかなように、本発明
のエッチング装置によれば、反応ガス噴出有効径を被処
理体であるSiO2膜あるいは不純物がドーピングされ
たSiO2膜の径より小さく、上部電極の反応ガス噴出
有効径のSiO2膜あるいは不純物がドーピングされた
SiO2膜の径に対する割合が、0.79以上、0.8
8以下としたため、反応ガスの噴出速度を上げることが
できる。そのため、エッチングによる反応生成物がエッ
チングにより形成される壁面に付着することがなく、エ
ッチングにより形成される壁面のテーパ角を85〜90
°でエッチングできる。また、Arガスの割合が少なく
てもエッチング形状が向上され、被処理体全面に亘り均
一なエッチングがなされ、しかもSiO2膜を選択的に
エッチングすることができる。Arガスも少ないためラ
ンニングコストを抑えることができ、経済的である。
【図面の簡単な説明】
【図1】本発明の一実施例のプラズマエッチング装置の
構成図。
【図2】図1に示す一実施例により得られるエッチング
形状と反応ガス噴出有効径の関係を示す図。
【図3】従来例のエッチング装置を示す図。
【図4】従来例のエッチング形状を示す図。
【図5】従来例のエッチング装置の一部を示す図。
【符号の説明】
10・・・・・・半導体ウェハ(被処理体であるSiO2膜あ
るいは不純物がドーピングされたSiO2膜) 25・・・・・・反応容器(反応室) 26・・・・・・上部電極 27・・・・・・下部電極 28・・・・・・反応ガス噴出口 S・・・・・・プラズマエッチング装置 r・・・・・・反応ガス噴出有効径
───────────────────────────────────────────────────── フロントページの続き (72)発明者 富田 一石 山梨県中巨摩郡竜王町西八幡(番地な し) 株式会社 日立製作所甲府工場内 (72)発明者 戸澤 茂樹 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 飯室 俊一 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 荒沢 正司 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 西村 栄一 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (56)参考文献 特開 昭62−37933(JP,A) 特開 昭62−61331(JP,A) 特開 平1−165122(JP,A) 特開 昭61−224323(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C23F 4/00

Claims (1)

    (57)【特許請求の範囲】
  1. 【請求項1】複数の反応ガス噴出口を有した上部電極及
    び下部電極を設けた反応室を備え、前記反応ガス噴出口
    から供給される反応ガスをプラズマ化して前記下部電極
    上に載置されたSiO2膜あるいは不純物がドーピング
    されたSiO2膜をエッチング処理するエッチング装置
    において、前記上部電極の前記反応ガス噴出有効径の前
    記SiO 2 膜あるいは不純物がドーピングされたSiO 2
    膜の径に対する割合を0.79以上、0.88以下と
    し、前記SiO 2 膜あるいは不純物がドーピングされた
    前記SiO 2 膜のエッチングにより形成される壁面のテ
    ーパ角は85〜90°であることを特徴とするエッチン
    グ装置。
JP31027992A 1992-11-19 1992-11-19 エッチング装置 Expired - Fee Related JP3242166B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP31027992A JP3242166B2 (ja) 1992-11-19 1992-11-19 エッチング装置
KR1019930024796A KR100234661B1 (ko) 1992-11-19 1993-11-19 이방성 에칭장치
US08/154,566 US5445709A (en) 1992-11-19 1993-11-19 Anisotropic etching method and apparatus
US08/623,737 US5766498A (en) 1992-11-19 1996-03-29 Anisotropic etching method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31027992A JP3242166B2 (ja) 1992-11-19 1992-11-19 エッチング装置

Publications (2)

Publication Number Publication Date
JPH06163467A JPH06163467A (ja) 1994-06-10
JP3242166B2 true JP3242166B2 (ja) 2001-12-25

Family

ID=18003325

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31027992A Expired - Fee Related JP3242166B2 (ja) 1992-11-19 1992-11-19 エッチング装置

Country Status (3)

Country Link
US (2) US5445709A (ja)
JP (1) JP3242166B2 (ja)
KR (1) KR100234661B1 (ja)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5883778A (en) * 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
JP3350237B2 (ja) * 1994-08-29 2002-11-25 株式会社東芝 エッチング方法及び装置
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
US5711849A (en) * 1995-05-03 1998-01-27 Daniel L. Flamm Process optimization in gas phase dry etching
US5632855A (en) * 1995-08-29 1997-05-27 Advanced Micro Devices Thermal oxide etch technique
TW449820B (en) * 1996-02-15 2001-08-11 Tokai Carbon Kk Plasma-etching electrode plate
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5754391A (en) * 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
DE19727857C1 (de) * 1997-06-30 1999-04-29 Fraunhofer Ges Forschung Plasmarektor mit Prallströmung zur Oberflächenbehandlung
US6155202A (en) * 1997-11-28 2000-12-05 Alps Electric Co., Ltd. Plasma processing apparatus, matching box, and feeder
KR20010032824A (ko) 1997-12-05 2001-04-25 테갈 코퍼레이션 증착 실드를 갖는 플라즈마 리액터
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6220607B1 (en) 1998-04-17 2001-04-24 Applied Materials, Inc. Thermally conductive conformal media
US6127271A (en) * 1998-04-28 2000-10-03 Balzers Hochvakuum Ag Process for dry etching and vacuum treatment reactor
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6080676A (en) * 1998-09-17 2000-06-27 Advanced Micro Devices, Inc. Device and method for etching spacers formed upon an integrated circuit gate conductor
US6281132B1 (en) 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
JP3542514B2 (ja) * 1999-01-19 2004-07-14 株式会社日立製作所 ドライエッチング装置
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
EP1252359B1 (en) 1999-12-02 2020-03-11 OEM Group, Inc Method of operating a platinum etch reactor
DE10023946A1 (de) * 2000-05-16 2001-11-29 Bosch Gmbh Robert Vorrichtung zum anisotropen Plasmaätzen
TWI228747B (en) * 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
US6440219B1 (en) * 2000-06-07 2002-08-27 Simplus Systems Corporation Replaceable shielding apparatus
US6531069B1 (en) 2000-06-22 2003-03-11 International Business Machines Corporation Reactive Ion Etching chamber design for flip chip interconnections
JP2002043299A (ja) * 2000-07-19 2002-02-08 Tokyo Electron Ltd 被処理体の熱処理方法
KR100756665B1 (ko) * 2000-12-29 2007-09-07 엘지.필립스 엘시디 주식회사 드라이 에칭장치
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US8214421B2 (en) * 2002-06-17 2012-07-03 Ibm International Group Bv Conformance testing without reference implementation of an XML standard
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
WO2004107825A1 (ja) 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100677039B1 (ko) * 2004-12-22 2007-01-31 동부일렉트로닉스 주식회사 건식 식각 방법
KR100661740B1 (ko) 2004-12-23 2006-12-28 주식회사 에이디피엔지니어링 플라즈마 처리장치
KR100661744B1 (ko) 2004-12-23 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
JP5168907B2 (ja) 2007-01-15 2013-03-27 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8361276B2 (en) * 2008-02-11 2013-01-29 Apjet, Inc. Large area, atmospheric pressure plasma for downstream processing
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US20100132771A1 (en) * 2008-10-06 2010-06-03 The Regents Of The University Of California 3D Carbon Nanotubes Membrane as a Solar Energy Absorbing Layer
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
JP5712741B2 (ja) * 2011-03-31 2015-05-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
KR102203098B1 (ko) 2013-07-25 2021-01-15 삼성디스플레이 주식회사 기상 증착 장치
KR20170073757A (ko) * 2015-12-18 2017-06-29 삼성전자주식회사 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치
JP6671230B2 (ja) * 2016-04-26 2020-03-25 東京エレクトロン株式会社 プラズマ処理装置およびガス導入機構

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60171728A (ja) * 1984-02-17 1985-09-05 Hitachi Ltd ドライエツチング装置
US4561907A (en) * 1984-07-12 1985-12-31 Bruha Raicu Process for forming low sheet resistance polysilicon having anisotropic etch characteristics
JPS61174721A (ja) * 1985-01-30 1986-08-06 Toshiba Corp 平行平板形ドライエツチング装置
JPS63169729A (ja) * 1987-01-07 1988-07-13 Nec Corp ドライエツチング装置
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
KR0129663B1 (ko) * 1988-01-20 1998-04-06 고다까 토시오 에칭 장치 및 방법
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5013400A (en) * 1990-01-30 1991-05-07 General Signal Corporation Dry etch process for forming champagne profiles, and dry etch apparatus
JPH03245526A (ja) * 1990-02-22 1991-11-01 Mitsubishi Electric Corp プラズマ処理装置
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5314573A (en) * 1991-05-20 1994-05-24 Tokyo Electron Limited Dry etching polysilicon using a bromine-containing gas
JPH05243191A (ja) * 1992-02-26 1993-09-21 Nec Corp ドライエッチング装置
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置

Also Published As

Publication number Publication date
KR100234661B1 (ko) 1999-12-15
KR940011662A (ko) 1994-06-21
US5766498A (en) 1998-06-16
US5445709A (en) 1995-08-29
JPH06163467A (ja) 1994-06-10

Similar Documents

Publication Publication Date Title
JP3242166B2 (ja) エッチング装置
JP2680338B2 (ja) 静電チャック装置
EP0776032B1 (en) Plasma etching method
JPH0482051B2 (ja)
US5389197A (en) Method of and apparatus for plasma processing of wafer
JP2008166848A (ja) 表面処理方法及びその装置
JP4124543B2 (ja) 表面処理方法及びその装置
JP3205878B2 (ja) ドライエッチング装置
JPH0359573B2 (ja)
JP4123428B2 (ja) エッチング方法
JPH11330047A (ja) エッチング装置及びエッチング方法
JP3675065B2 (ja) ドライエッチング方法
JPH1022263A (ja) プラズマエッチング装置
JP3045259B2 (ja) プラズマ装置
JP3002496B2 (ja) 半導体ウェハのドライエッチング方法
JP2734908B2 (ja) プラズマ処理装置
JP2978857B2 (ja) プラズマエッチング装置
EP0512677B1 (en) Plasma treatment method and apparatus
JPH05121362A (ja) Ecrプラズマ処理装置
JPH1154482A (ja) 半導体装置の製造方法および装置ならびにワークの処理方法
JPS63227021A (ja) ドライエツチング装置
JP2502271B2 (ja) プラズマ処理装置
JPH07273086A (ja) プラズマ処理装置及び該装置を用いたプラズマ処理方法
JPH08139037A (ja) 気相反応装置
JPH0241167B2 (ja)

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20010206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010911

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees