JP5728482B2 - 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置 - Google Patents

誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置 Download PDF

Info

Publication number
JP5728482B2
JP5728482B2 JP2012530921A JP2012530921A JP5728482B2 JP 5728482 B2 JP5728482 B2 JP 5728482B2 JP 2012530921 A JP2012530921 A JP 2012530921A JP 2012530921 A JP2012530921 A JP 2012530921A JP 5728482 B2 JP5728482 B2 JP 5728482B2
Authority
JP
Japan
Prior art keywords
volume
baffle
gas
plasma
outer body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012530921A
Other languages
English (en)
Other versions
JP2013506292A (ja
Inventor
ロイ シー ナンゴイ
ロイ シー ナンゴイ
サラブジェート シン
サラブジェート シン
ジョン シー ファル
ジョン シー ファル
シャーマ ブイ パマルシィ
シャーマ ブイ パマルシィ
アジャイ クマル
アジャイ クマル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013506292A publication Critical patent/JP2013506292A/ja
Application granted granted Critical
Publication of JP5728482B2 publication Critical patent/JP5728482B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Description

背景
(分野)
本発明の実施形態は、概して、エッチング/蒸着(堆積)プロセスなどの基板処理システム及び関連する基板処理に関する。より具体的には、本発明の実施形態は、改良されたプラズマ解離効率を備えたプロセスチャンバに処理ガスを供給するための方法及び装置に関する。
(関連技術の説明)
マイクロ電子デバイスの製造は、多くの異なるステージを含み、その各々は多様なプロセスを含む。1つのステージの間、ある特定のプロセスは、基板の物理特性及び材料特性を変えるために、シリコン基板などの基板の表面にプラズマを付与する工程を含む場合がある。このプロセスは、穴、ビア、及び/又はその他の開口(以下、「トレンチ」と称する)を基板内に形成するために物質の除去を含むことができるエッチングとして知られているかもしれない。
プラズマエッチングリアクタは、一般に半導体基板内でトレンチをエッチングするために使用される。これらのリアクタは、基板を内部で支持するチャンバを含む。少なくとも1つの反応性ガスがチャンバに供給され、プラズマを形成するために、高周波信号が反応性ガスに結合される。プラズマは、リアクタ内に配置されている基板をエッチングする。基板は、エッチング性能及びトレンチプロファイルを増進するために、エッチングプロセス中に基板にバイアスを掛ける高周波信号に結合することもできる。
これらのトレンチプロファイルは、しばしば異なるクリティカルディメンジョンを要求する。クリティカルディメンジョンは、幅、深さ、アスペクト比、レジスト選択性、側壁の表面粗さ、及び側壁の平坦度を含む。これらのクリティカルディメンジョンは、様々な要因によって制御されることができ、その中の2つはエッチング時間とエッチング速度であり、更にこれらはエッチングされる材料と使用されるエッチングシステムのタイプに依存する。
特に重要な1つの材料はシリコンである。スルーシリコンビア(「TSV」)エッチングは、シリコン基板に深いトレンチを形成するために低周波バイアスと低温環境を要求する優れたアプリケーションである。しかしながら、製造中に、シリコンは、一般的にシリコン上に堆積された酸化物層や金属層などの他の材料の複数の層で覆われている。酸化物及び金属は、高周波バイアスなどのシリコンとは異なるエッチング要件を含む。更に、蒸着プロセスの間、エッチングプロセスの前にトレンチの側壁を保護するために、トレンチを形成しながら、薄膜ポリマー層が基板の層上に堆積される場合がある。このポリマー層は、酸化物、金属、又はシリコン層と異なるエッチング要件を更に含む可能性がある。これらの異なる要件は、使用するエッチングシステムのタイプの複雑さに影響を与え、複雑さを増加させる。
エッチング装置の1つのタイプは、インサイチュー(in situ)プラズマエッチングを含むことができる。この第1タイプのエッチングシステムを使用して、除去用プラズマと堆積用プラズマを備えた単一のリアクタ内で、基板上に材料の除去と堆積を交互に行うことによって、トレンチを形成することができる。エッチングシステムのもう1つのタイプは、リモートプラズマエッチングを含むことができる。この第2タイプのエッチングシステムを使用することによって、プラズマが主リアクタ内に配置された基板上に導入される前にリモートリアクタ内で生成されることが可能であることを除いて、インサイチューシステムと同様にトレンチを形成できる。エッチングシステムのタイプに加えて、各システムによるエッチングプロセスが変わる場合もある。いくつかのエッチングプロセスでは、エッチング・蒸着プロセス又はエッチング・フラッシュ・蒸着プロセスなどのいくつかのレシピプロセスを含む時間多重化ガスモジュレーション(「TMGM」)システム又はボッシュ(Bosch)システムなどのマルチプロセスのアプローチが採用されている。TMGMプロセスは、ある時間の間、材料をエッチングし、その後、更なるエッチングから表面を、通常はトレンチの側壁を保護するために、以前にエッチングされた表面上に保護フィルムを堆積する。より一層深いトレンチが形成されるように、これら2つのプロセスが繰り返される。エッチングシステム及びプロセスの異なるタイプは、異なる材料層で異なるトレンチプロファイルを形成する場合、特定の利点及び欠点を有する。
エッチングシステムの材料エッチング速度は、多くの場合、ソース(供給)電力の関数となる。より高いソース電力は、処理ガスのより高い解離速度につながるため、より高いエッチング速度は、より高いソース電力で達成可能である。
本発明の実施形態は、ソース電力を増加させずに処理ガスのより高い解離速度を得ることによってエッチング速度を上げるので、したがってエッチングシステムの効率を高める。
概要
本発明の実施形態は、概してエッチング又は蒸着プロセスなどの基板処理システム及び関連する基板処理に関する。より具体的には、本発明の実施形態は、改良されたプラズマ解離効率を備えたプロセスチャンバに処理ガスを供給するための方法及び装置に関する。
内側容積を画定する外側本体であって、前記外側本体は、前記内側容積に開放する第1入口チャンネルを有し、ガス供給源に接続するように適合され、前記外側本体は出口を有する外側本体と、前記内側容積内に配置され、前記内側容積を第1容積及び第2容積に分割する入口バッフルであって、前記第1入口チャンネルは、前記第1容積の第1端部近くに開放し、1以上の開口が前記第1容積の第2端部近くに配置され、前記1以上の開口は前記第1及び第2容積に接続される入口バッフルと、前記外側本体の前記出口の上方に配置される出口バッフルであって、前記出口バッフルは前記内側容積の前記第2容積に開放する1以上の第1貫通穴を有し、前記出口バッフルは前記第1貫通穴からのガス流をリダイレクトする(出力先を変更する)出口バッフルを含むバッフルノズルアセンブリを、本発明の一実施形態は提供する。
本発明の別の一実施形態において、処理容積を画定するチャンバ本体を含む基板処理システムが提供され、チャンバ本体は、側壁と、処理容積へ処理ガスを導入するように適合された中央開口を有する蓋を含む。基板処理システムは、蓋の上方でチャンバ本体の外側に配置された第1ソレノイドコイルアンテナを更に含み、第1ソレノイドコイルアンテナは中央開口及び蓋の中央開口に結合されたバッフルノズルアセンブリと同心である。バッフルノズルアセンブリは、蓋の中央開口を覆う蓋の上方に配置されたチャンバエクステンションであって、第1ソレノイドコイルアンテナは、チャンバエクステンションを取り囲み、チャンバエクステンションは、蓋の中央開口を介して処理容積と流体結合する拡張容積を画定し、チャンバエクステンションは、拡張容積に開放し、ガス供給源に接続するように適合される第1入口チャンネルを有するチャンバエクステンションと、拡張容積内に配置され、拡張容積を第1容積及び第2容積に分割するガスバッフルノズルであって、第1入口チャンネルは、第1容積の第1端部近くに開放し、1以上の開口が、第1容積の第2端部近くに位置し、1以上の開口は第1及び第2容積に接続するガスバッフルノズルと、蓋の中央開口内に配置されるプラズマバッフルであって、拡張容積の第2容積に開放する1以上の第1貫通穴を有し、第1貫通穴からのガス流をリダイレクトするプラズマバッフルを含む。
本発明の更なる別の一実施形態は、処理チャンバの処理容積内に基板を位置決めする工程を含み、処理チャンバは、側壁と、処理容積、チャンバ本体の外側に配置されるソレノイドコイルアンテナ、及び蓋の上方に配置され、ソレノイドコイルアンテナによって取り囲まれるチャンバエクステンションを画定する蓋とを含み、チャンバエクステンションは、バッフルノズルアセンブリを介して処理容積と流体結合する拡張容積を画定する基板を処理するための方法を提供する。この方法は、拡張容積を介して第1処理ガスを処理容積に流し、同時にプラズマ電源をソレノイドコイルアンテナに印加し、これによって拡張容積と処理容積の両方の中で第1処理ガスのプラズマを生成する工程を更に含む。
本発明の実施形態の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明の実施形態のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではない。
本発明の一実施形態に係るプラズマ処理システムを概略的に示す。 本発明の一実施形態に係る基板エッチングシステム用のチャンバエクステンションの概略上面図である。 本発明の一実施形態に係るノズルバッフルアセンブリを示す図2Aのチャンバエクステンションの概略側断面図である。 本発明の一実施形態に係るガスバッフルノズルの模式断面図である。 図3Aのガスバッフルノズルの概略断面図である。 本発明の別の一実施形態に係るガスバッフルノズルの概略断面図である。 本発明の一実施形態に係るプラズマバッフルの概略上面図である。 図4Aのプラズマバッフルの概略断面図である。 本発明の別の一実施形態に係るチャンバエクステンション及びノズルバッフルアセンブリの概略側断面図である。 本発明の別の一実施形態に係るチャンバエクステンション及びノズルバッフルアセンブリの概略側断面図である。 本発明の一実施形態に係るチャンバエクステンション及びノズルバッフルアセンブリの概略側断面図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態に開示される要素を特別な説明なしに他の実施形態において有益に使用してもよいと理解される。
詳細な説明
本発明の実施形態は、概してエッチング/蒸着プロセスなどの基板処理システム及び関連する基板処理に関する。より具体的には、本発明の実施形態は、改良されたプラズマ解離効率を備えたプロセスチャンバに処理ガスを供給するための方法及び装置に関する。
本発明の実施形態は、コイルアンテナへ曝露した中で処理ガスの経路を拡張し、これによって処理ガスは解離する反応時間が増加する。この結果として、ソース電力を増加させることなく、より高い解離率が得られる。
本発明の一実施形態は、ノズルを介してプラズマリアクタの処理容積と流体接続する拡張容積を画定するチャンバエクステンションを有するプラズマリアクタを提供する。拡張容積は、処理容積の外側に配置されたコイルアンテナの内側に位置する。処理ガスは、処理される基板が配置される処理容積に到達する前に、拡張容積を通って流れる。処理ガスは、処理容積に入る前に、チャンバエクステンション内で解離することができ、したがって解離するためのより長い時間を有することができる。
一実施形態では、ノズルは、処理ガスに拡張された経路を提供する拡張容積内に配置されたガスバッフルノズルを含む。
一実施形態では、ノズルは、処理容積内に配置されたプラズマバッフルを含む。プラズマバッフルは、ノズル近傍の高プラズマ密度を避けるために、チャンバエクステンションから処理ガスの流れをリダイレクトする。
別の一実施形態では、ノズルは、処理ガスがチャンバエクステンションを通過することなく、処理容積に入ることができる迂回路を有する。一実施形態では、処理ガスは、チャンバエクステンションを介して迂回路と拡張路の両方を通って供給されてもよい。別の一実施形態では、第1処理ガスが、チャンバエクステンションを介して拡張路を通って供給され、第2の処理ガスが、チャンバエクステンションを通過することなく迂回路を通って供給される。例えば、エッチングガスと蒸着ガスを交互に処理チャンバに流されるシリコンエッチングプロセスの間、増加したプラズマ密度を得るために拡張路を通してエッチングガスを流すことができ、一方、蒸着ガスが処理容積内でのみ解離するように迂回路を通して流すことができる。
図1は、本発明の一実施形態に係るプラズマ処理システム100を概略的に示している。図1は、様々な基板を処理し、様々な基板サイズに対応するためのプラズマ処理システム100の断面図を示している。
一実施形態では、プラズマ処理システム100は、処理容積41を画定するチャンバ25を含む。一実施形態では、チャンバ25は、側壁26及び蓋43を含むことができる。プラズマ処理システム100は、チャンバ25の蓋43上に配置されたアンテナアセンブリ70を更に含む。電源15及びマッチングネットワーク17がアンテナアセンブリ70に結合され、これによってプラズマ生成のためのエネルギーを提供する。一実施形態では、アンテナアセンブリ70は、プラズマ処理システム100の対称軸73と同軸に配置された1以上のソレノイドインターリーブコイルアンテナを含むことができる。図1に示されるように、プラズマ処理システム100は、蓋43上に配置された外側コイルアンテナ71及び内側コイルアンテナ72を含む。一実施形態では、コイルアンテナ71、72は独立して制御することができる。プラズマ処理システム100には2つの同軸アンテナが記載されているが、1つのコイルアンテナや3つ以上のコイルアンテナ構成などの他の構成が意図され得ることに注意すべきである。
一実施形態では、内側コイルアンテナ72は、小さなピッチで螺旋のように巻かれ、内側アンテナ容積74を形成する1以上の導電体を含む。電流が、1以上の導電体を通過するとき、内側コイルアンテナ72の内側アンテナ容積74内に磁界が確立される。後述するように、本発明の実施形態は、内側コイルアンテナ72の内側アンテナ容積74内にチャンバエクステンション容積を提供し、内側アンテナ容積74内で磁界を用いることによってプラズマを生成する。
内側コイルアンテナ72及び外側コイルアンテナ71は、例えば、チャンバ壁の特定の形状に合わせて、又は処理チャンバ内で対称又は非対称を達成するように、アプリケーションに応じて他の形状を有してもよいことに注意すべきである。一実施形態では、内側コイルアンテナ72及び外側コイルアンテナ71は、直方体の形状に内側アンテナ容積を形成することができる。
プラズマ処理システム100は、処理容積41に配置された基板支持体40を更に含む。基板支持体40は、処理中に基板101を支持する。一実施形態において、基板支持体40は、静電チャックである。バイアス電源20及びマッチングネットワーク21が、基板支持体40に接続されてもよい。バイアス電源20は、処理容積41内で生成されたプラズマにバイアス電位を提供する。
一実施形態では、蓋43は、1以上の処理ガスの進入を可能にする開口44を有する。一実施形態では、開口44は、プラズマ処理システム100の中心軸付近に配置され、処理される基板101の中心に対応することができる。
一実施形態では、プラズマ処理システム100は、開口44を覆う蓋43上に配置されたチャンバエクステンション51を含む。一実施形態では、チャンバエクステンション51は、アンテナアセンブリ70のコイルアンテナの内側に配置される。チャンバエクステンション51は、開口44を介して処理容積41と流体連結する拡張容積42を画定する。
一実施形態では、プラズマ処理システム100は、処理容積41及び拡張容積42内の開口44を通して配置されたバッフルノズルアセンブリ55を更に含む。バッフルノズルアセンブリ55は、拡張容積42を通って処理容積41内に1以上の処理ガスを向ける。一実施形態では、バッフルノズルアセンブリ55は、拡張容積42を通過することなく、処理ガスが処理容積41に入ることを可能にする迂回路を有する。
拡張容積42は内側アンテナ容積74内にあるため、拡張容積42内の処理ガスは、処理容積41に入る前に内側コイルアンテナ72の磁界に曝露される。拡張容積42の使用は、内側コイルアンテナ72又は外側コイルアンテナ71に印加される電力の増加なしに、処理容積41内のプラズマ強度を増加させる。
一実施形態では、内側コイルアンテナ72又はチャンバエクステンション51のサイズは、所望のプラズマ均一性及び/又は解離効率を得るように調整することができる。例えば、処理容積41内のプラズマ密度の均一性は、内側コイルアンテナ72のサイズ(例えば、直径)を増加させることによって、又は拡張容積42のサイズ(例えば、直径)を減少させることによって、又はこれら両方によって、増加可能である。しかしながら、内側コイルアンテナ72のサイズが増加又は拡張容積42のサイズが減少すると、ガス解離効率は低下する。一実施形態では、内側コイルアンテナ72の直径は、拡張容積42の直径の約2倍〜約4倍である。
別の一実施形態では、処理ガスの解離速度は、内側コイルアンテナ72の電流などの電力レベルを調整することによって調整可能である。内側コイルアンテナ72に供給される電流を増やすと、処理ガスの解離速度を高めることができる。一実施形態では、処理容積41内のプラズマの均一性及び/又は強度は、内側コイルアンテナ72の電流レベルを調整することによって調整可能である。一実施形態では、拡張容積42及び内側アンテナ容積74の相対的なサイズは、内側コイルアンテナ72の電流レベル調整における要因となる。
プラズマ処理システム100は、ポンプ30及びバルブ35を含み、これによって処理容積41に真空及び排気を提供する。プラズマ処理システム100は、プラズマ処理システム100の温度を制御するチラー45を更に含んでもよい。
プラズマ処理システム100はガス供給システム102を更に含み、これによって処理容積41に1以上の処理ガスを提供する。一実施形態では、ガス供給システム102は、チャンバ25に直接隣接して、例えばチャンバ25の下に配置されたハウジング105内に位置する。ガス供給システム102は、チャンバ25にプロセスガスを提供するために、1以上のガスパネル104内に位置する1以上のガス供給源をバッフルノズルアセンブリ55に選択的に結合する。一実施形態では、ガス供給システム102は、チャンバエクステンション51を介してバッフルノズルアセンブリ55に接続できる。一実施形態では、ハウジング105は、ガスを変更したときにガス遷移時間を短縮し、ガス使用量を最小限に抑え、排ガス量を最小限に抑えるために、チャンバ25に近接して配置される。
プラズマ処理システム100は、チャンバ25内に基板101を支持する基板サポート40を昇降するためのリフト27を更に含むことができる。
チャンバ25は、下部ライナー22と、上部ライナー23と、スリットバルブドア24を有する側壁26を更に含む。バルブ35は、ポンプ30とチャンバ25との間に配置されてもよく、チャンバ25内の圧力を制御するように動作可能であってもよい。
ガス供給システム102は、更に後述するように、チャンバ25に少なくとも2つの異なる混合ガスを瞬間的な速度で供給するために使用することができる。任意選択の実施形態では、プラズマ処理システム100は、トレンチがチャンバ25内に形成されているとき、エッチングされたトレンチの深さ及び堆積された膜厚を測定するように動作可能なスペクトルモニタを含むのみならず、リアクタの状態を判断するために他のスペクトル機能も使用することができる。プラズマ処理システム100は、例えば、基板直径が約300mmまでの様々な基板サイズに対応可能である。
基板上に酸化物層及び金属層を配置したシリコン基板内に、深いトレンチ及びスルーシリコンビア(TSV)などのプロファイルをエッチングするための方法及び装置であって、エッチングサイクルが、単独で完全に自動化されたリアクタ内でインサイチューに実行される複数のプラズマプロセスを含む方法及び装置が提供される。このような各エッチングサイクルは、蒸着プロセス、第1エッチングプロセス、及び第2エッチングプロセスを含む。各プロセスは、基板が支持されるリアクタのチャンバ内に供給されるガス混合物の組成によって画定される個々のプラズマプロセスである。ガス混合物の異なる組成は、個々の各プロセス間でチャンバに供給可能である。リアクタは、一般的に、プラズマを生成し維持するための電力源(以下、「ソース電源」という。)及び基板にバイアスを掛けるための電力源(以下、「バイアス電源」という。)を含み、夫々は独立して制御される。
一実施形態では、プラズマ処理システム100は、約85度〜約92度の範囲のテーパ角を有する側壁プロファイルと、約10マイクロメートル〜約500マイクロメートルの範囲の深さを有するエッチングされた基板トレンチを生成することができる。一実施形態では、プラズマ処理システム100は、金属エッチングリアクタと、オプションでポスト金属エッチングパッシベーションチャンバを含むシステムに結合可能である。
一実施形態では、プラズマプロセスを生成し維持するための電源15は、チャンバ25の上方に配置され、ハウジング11に収納された発電装置を介してチャンバ25に結合される。電源15は、パルス機能と約10ワット〜約5000ワットの範囲内の電力を有する約12MHz〜約13.5MHzの範囲内の高周波を生成するように動作可能であってもよく、動的なマッチングネットワーク17を更に含んでもよい。一実施例では、電源15は、パルス機能を有した13MHzの高周波を生成するように動作可能であってもよい。
電源15は、高周波をエッチングサイクル中に変更可能なように、調節可能なデュアル電源を含むことができる。一実施形態では、電源15は、プラズマ処理システム100に搭載可能な高いプラズマ解離レベルを生成することができるリモートプラズマ電源を含むことができる。
リモートプラズマ電源を使用するとき、プラズマ処理システム100は、基板にプラズマを分散させるのを助長するために、チャンバ25内に配置された1つ又は一連のプラズマ分散板を更に含むことができる。一実施形態では、プラズマ処理システム100は、インサイチューソース電源及びリモートプラズマソース電源の両方を含み、リモートプラズマソース電源を用いてリモートプラズマチャンバ内でプラズマを生成し、リアクタチャンバ25へ送り、生成されたプラズマをインサイチュー電源15がチャンバ25内に維持してもよい。一実施形態では、電力範囲、すなわち電源15のワット数は、エッチングサイクル中に増加又は減少可能なエッチングサイクルを実行することができる。電源15は、エッチングサイクルの間、パルス化してもよい。
一実施形態において、基板101にバイアスを掛けるためのバイアス電源20は、チャンバ25及び基板支持体40に結合される。バイアス電源20は、パルス機能と約10ワット〜約500ワットの低電力範囲を有した約2MHzの高周波を生成するように動作可能であってもよく、動的なマッチングネットワーク21を更に含んでもよい。一実施形態では、バイアス電源20は、パルス機能と約10ワット〜約500ワットの低電力範囲を有した、約400kHz〜約2MHz、約100kHz〜約2MHz、及び約100kHz〜約13.56MHzの選択可能な高周波範囲を生成可能であってもよく、動的なマッチングネットワーク又は固定されたマッチングネットワーク及び周波数チューナーを更に含んでもよい。一実施形態では、電源範囲、すなわちバイアス電源20のワット数は、エッチングサイクル中に増加又は減少可能なエッチングサイクルを実行することができる。一実施形態では、エッチングサイクルは、蒸着プロセス、第1エッチングプロセス、及び第2エッチングプロセスを含み、バイアス電源20は第1エッチングプロセスの間に使用され、バイアス電源20は第2エッチングプロセスの間に減少又は増加される。例えば、バイアス電源の高周波は、第1エッチングプロセスから第2エッチングプロセスまで減少又は増加されてもよい。
バイアス電源20は、エッチングサイクルの間、パルス化できる。バイアス電源20をパルス化するために、高周波電源は、エッチングサイクルの間、オンとオフを切り替えられる。バイアス電源20のパルス周波数は、約10Hz〜約1000Hzまでの範囲であってもよく、約50Hz〜約180Hzの範囲であってもよい。一実施形態では、電源のオンとオフの切り替えは、エッチングサイクル全体に亘る時間内で一様に分布している。一実施形態では、パルスのタイミングプロファイルは、エッチングサイクルを通して変えることができ、基板の組成に依存してもよい。バイアス電源20がオンになっている時間割合、すなわち上記のようなデューティサイクルは、パルス周波数に直接関連している。一実施形態では、パルス周波数が約10Hz〜約1000Hzの範囲のとき、デューティサイクルは約2%〜約40%の範囲である。一実施形態では、パルス周波数が約50Hz〜約180Hzの範囲のとき、デューティサイクルは約5%〜約30%の範囲である。バイアス電源の周波数及びパルス周波数は、処理される基板材料に応じて調整することができる。
一実施形態では、チラー45は、チャンバ25内及びチャンバ25内に位置する基板の温度を制御するように動作可能であるかもしれない。チラー45は、チャンバ25の近くに配置され、チャンバ25に結合できる。チラー45は、サブゼロ点を用いた熱電チラーなどの低温チラーを含むことができ、超低温用の直接冷却機構を更に含むことができる。チラー45は、摂氏約−20度〜約80度の範囲の温度を生成するように動作可能であり、チャンバ25の近くに位置し、これによってより速い反応時間を達成し、エッチング速度の改善を助長するためにいくつかの制御レベルを可能にするランプ機能を含むことができる。一実施形態では、チラー45は、摂氏約−10度〜約60度の範囲の温度を生成することができ、チャンバ25の近くに位置することができ、これによってより速い反応時間を達成する。一実施形態では、チラー45は、チャンバ25内で摂氏約−10度から摂氏約−20度まで温度を下げるように動作可能であるかもしれない。
一実施形態では、プラズマ処理システム100は、チャンバ25に連結されるポンプ30及びバルブ35によって、約10mTorr〜約1000mTorrのチャンバ圧力範囲を維持するように動作可能である。トレンチプロファイルを更に改善するために、エッチングサイクルの間、チャンバ圧力を調整することができる。例えば、蒸着プロセスからエッチングプロセスに切り替えるとき、チャンバ圧力は急速に減少又は増加する可能性がある。ポンプ30は、チャンバ25を通して約100sccm〜約1000sccmの範囲内で流れを処理するように動作可能なターボポンプ、例えば2600L/sのターボポンプを含むことができる。ポンプ30と共に、バルブ35は、プロセスフロー及び圧力変化の制御を助長するために、高速反応時間を有するスロットルゲートバルブを含むことができる。プラズマ処理システム100は、チャンバ25内の圧力を測定するための二重の圧力計(デュアルマノメータ)を更に含むことができる。一実施形態では、プラズマ処理システム100は、エッチングサイクルの間、約10mTorr〜約250mTorrの範囲内に動的圧力を維持するように動作可能である。オプションとして、自動スロットルゲートバルブ制御や規定の制御点を持つバルブを利用することもでき、フローパラメータを変化させながら、動的圧力を設定点に維持することもできる。
ソース電源は連続的に印加されることができる一方、バイアス電源はパルス化することができ、例えば、繰り返しエネルギーを放出する。特に、バイアス電源は、「デューティサイクル」と呼ばれる電源がオンになっている時間の割合を提供するために、制御システムによって設定される発電機のパルス機能を使用してパルス化することができる。一実施形態では、パルス化されたバイアス電源のオン時間とオフ時間は、エッチングサイクル全体に亘って均一にすることができる。例えば、電源が約3ミリ秒間オンで、約15ミリ秒間オフの場合、デューティサイクルは約16.67%になるだろう。サイクル毎秒又はヘルツ(Hz)単位のパルス周波数は、秒単位で1.0をオン及びオフ時間の合計で割った値に等しい。例えば、バイアス電源が約3ミリ秒間オン、約15ミリ秒間オフで、合計約18ミリ秒間の場合、サイクル毎秒単位のパルス周波数は、約55.55Hzである。一実施形態では、エッチングサイクル中にオン/オフタイミングが変化する特殊なパルスプロファイルを使用することができる。一実施形態では、基板に印加するバイアス電力を変化させることにより、蒸着プロセス及び/又はエッチングプロセスの間でエッチングサイクルを切り替えることができる。トレンチ側壁のスカラッピングを減少させ、レジストの選択性を向上させ、エッチング速度を向上させ、材料界面のアンダーカットを防止するのを助長するために、バイアス電力はパルス化される。
しかしながら、本発明の態様は、シリコンエッチングでの使用に限定されず、他のタイプの材料のエッチングにも適用できることに留意すべきである。
上述のように、本発明の実施形態は、ノズルを介してプラズマリアクタの処理容積と流体接続する拡張容積を画定するチャンバエクステンションを有するプラズマリアクタを提供する。図2〜4は、本発明の一実施形態に係るチャンバエクステンション及びバッフルノズルアセンブリを概略的に示している。
図2Aは、本発明の一実施形態に係る基板処理システム用のチャンバエクステンション及びバッフルノズルアセンブリ201の概略上面図である。図2Bは、チャンバエクステンション及びバッフルノズルアセンブリ201の概略側断面図である。
チャンバエクステンション及びバッフルノズルアセンブリ201は、処理ガスの全部又は一部のプラズマ生成用電源への曝露を増加させるために、図1のプラズマ処理システム100などのプラズマ処理チャンバ用のガス入口内に配置することができる。図2Aに示されるように、チャンバエクステンション及びバッフルノズルアセンブリ201は、内側コイルアンテナ72内の蓋43の開口44に配置される。
チャンバエクステンション及びバッフルノズルアセンブリ201は、蓋43の外側に配置され、開口44を覆い、内部に拡張容積211を画定するチャンバエクステンション210を含む。拡張容積211は、内側コイルアンテナ72によって取り囲まれ、拡張容積内の任意の処理ガスは、内側コイルアンテナ72に印加されるソース電力の影響を受けて解離することができる。
一実施形態では、チャンバエクステンション210は、実質的に円筒状であってもよい。チャンバエクステンション210は、円筒状の側壁218と上部219を含むことができる。円筒状の側壁218及び上部219は、拡張容積211を画定する。チャンバエクステンション210は、側壁218に接続された入口エクステンション217を有する。ガス入口チャンネル213及び214は、入口エクステンションを通して形成され、拡張容積211に開放することができる。ガス入口チャンネル213、214は、ガス供給システムに接続され、拡張容積211に1つ又は2つの混合ガスを導入することができる。チャンバエクステンション210はまた、拡張容積211内で処理ガス用出口を提供する底部開口215を有する。チャンバエクステンション210は、開口215が、蓋43の開口44と同軸になるように配置することができる。
チャンバエクステンション及びバッフルノズルアセンブリ201は、拡張容積211内に配置されたガスバッフルノズル220を更に含む。ガスバッフルノズル220は、チャンバエクステンション210に入るガスのための経路を制限するチャンバエクステンション210用入口バッフルである。ガスバッフルノズル220は、ガス入口チャンネル213、214からチャンバエクステンション210を介して開口215へ処理ガスを導く。一実施形態では、ガスバッフルノズル220は、電源への曝露を増加させるために、少なくとも1つの処理ガス用拡張経路を作成する。
一実施形態では、ガスバッフルノズル220は、垂直バッフルであってもよい。一実施形態では、ガスバッフルノズル220は、拡張容積211内に垂直方向に配置された中空円筒である。図2Bに示されるように、ガスバッフルノズル220は、拡張容積211を外側容積227と内側容積228に分割する。外側容積227は、チャンバエクステンション210の側壁218とガスバッフルノズル220の外面との間で画定される。内側容積228は、ガスバッフルノズル220の内面とチャンバエクステンション210の上部219によって画定される。
図3Aは、本発明の一実施形態に係るガスバッフルノズル220の概略断面図である。図3Bは、ガスバッフルノズル220の概略断面図である。一実施形態では、ガスバッフルノズル220は、上端224に形成された複数のスロット221を有することができる。スロット221は、外側容積227から内側容積228までの流体連結を可能にする。一実施形態では、ガスバッフルノズル220は、下端223の近くに形成されたフランジ222を有することができる。図2Bに示されるように、ガスバッフルノズル220が、チャンバエクステンション210内に配置されている場合、フランジ222は、外側容積227から迂回容積226を分離する。
一実施形態では、入口チャンネル213は、外側容積227の下端付近に開放される。入口チャンネル213からの処理ガスは、下端近くの外側容積227に入り、外側容積227内を上昇し、複数のスロット221を通過し、その後、処理チャンバの処理容積41に入る前に内側容積228に入る。入口チャンネル213からの処理ガス経路は、電源に長時間曝露されるために、ガスバッフルノズル220によって拡張されている。
図3Cは、本発明の別の一実施形態に係るガスバッフルノズル220aの概略断面図である。ガスバッフルノズル220aは、外側に溝225があること以外は、ガスバッフルノズル220と同様である。一実施形態では、溝225は外側容積227に渦流路を提供する1以上の螺旋状の溝である。渦流路は、チャンバエクステンション210内の処理ガス経路を更に長く拡張する。
図2Bに戻って参照すると、入口チャンネル214からの処理ガスは迂回容積226に入り、その後、迂回チャンネル236を通って処理容積の41に入る。したがって、この構成によって、チャンバエクステンション210の迂回は、電源への長時間の曝露を避けることができる。この経路は、シリコンエッチングプロセスの間の蒸着ガスで使用することができ、これによって効率的に蒸着ガスを使用することができる。
チャンバエクステンション及びバッフルノズルアセンブリ201は、開口44内に配置されたプラズマバッフル230を更に含む。プラズマバッフル230は、チャンバエクステンション210を出るガス用の経路を制限するためのチャンバエクステンション210用出口バッフルである。一実施形態では、プラズマバッフル230は、プラズマバッフル230が開口44内に形成された凹部内に位置することを可能にするフランジ237を有することができる。プラズマバッフル230は、内側容積228と、処理チャンバ内の処理容積41への迂回容積226の両方の中に、処理ガス用経路を提供する。プラズマバッフル230はまた、チャンバエクステンション210からのガス流をリダイレクトする、特に、開口44付近でプラズマ密度の集中を避けるために、任意のプラズマ流をリダイレクトするバッフルプレート233を提供する。
図4Aは、本発明の一実施形態に係るプラズマバッフル230の概略上面図である。図4Bは、プラズマバッフル230の概略断面図である。図4Bに示されるように、プラズマバッフル230は、ブロッカープレート231、ブロッカープレート231から延在するステム232、ステム232の末端に配置されたバッフルプレート233を含むことができる。
ブロッカープレート231は、蓋43の開口44内にある。一実施形態では、複数の貫通穴234がブロッカープレート231内に形成される。貫通穴234は、内側容積228から処理容積41へのガス流を可能にする。バッフルプレート233は、貫通孔234からの流れが、開口44の下方に配置された基板に直接到達することを防ぐことができる。バッフルプレート233は、流れを横に向ける。
一実施形態では、プラズマバッフル230は、ステム232及びバッフルプレート233内に形成された中央チャンネル235を有する。中央チャンネル235は、処理容積41に対してバッフルプレート233で開放する。一実施形態では、中央チャンネル235は、ブロッカープレート231を通過しない。一実施形態では、複数の迂回チャンネル236が、迂回容積226と中央チャンネル235を接続するブロッカープレート231内に形成されている。図2Bを参照すると、入口チャンネル214からの処理ガスは、迂回容積226に入ることができ、迂回チャンネル236を通過して中央チャンネル235へと進み、その後、処理チャンバの処理容積41へと進む。
一実施形態では、プラズマバッフル230は、一体で形成することができる。別の一実施形態では、プラズマバッフル230は、簡単な組み立てを可能にするためにいくつかの部品で形成することができる。特に、ブロッカープレート231は、バッフルプレート233が開口44よりも大きい場合に据え付け可能なように、2部品に分割されたステム232を含んでもよい。別の一実施形態では、バッフルプレート233は、ステム232及びブロッカープレート231から分離した部品であってもよい。
図5は、本発明の別の一実施形態に係るチャンバエクステンション及びバッフルノズルアセンブリ301の概略側断面図である。
チャンバエクステンション及びバッフルノズルアセンブリ301は、図2A〜2Bのチャンバエクステンション及びバッフルノズルアセンブリ201と同じチャンバエクステンション210及びガスバッフルノズル220を含む。チャンバエクステンション及びバッフルノズルアセンブリ301は、複数の部品を含むプラズマバッフル330を含む。プラズマバッフル330は、ステム332の周りにクランプを形成する2以上のブロッカープレート331を含む。バッフルプレート333は、ステム332の末端に形成されている。バッフルプレート333は、蓋43内の開口44よりも大きい。貫通穴334は、チャンバエクステンション210からのガス流用にブロッカープレート331を貫通して形成されている。迂回チャンネル336a、336bは、ブロッカープレート331及びステム332内に夫々形成されている。迂回チャンネル336bは、ステム332及びバッフルプレート333内に形成された中央チャンネル335に開放する。
図6は、本発明の別の一実施形態に係るチャンバエクステンション及びバッフルノズルアセンブリ401の概略側断面図である。チャンバエクステンション及びバッフルノズルアセンブリ401は、バッフルプレート433及びブロッカープレート431を含むプラズマバッフル430を含む。チャンバエクステンション及びバッフルノズルアセンブリ401は、チャンバエクステンション210内のガスの一部がバッフルプレート433によってリダイレクトされることなく処理チャンバに向かって直接流れることを可能にするプラズマバッフル430を貫通して中央チャンネル435が形成されていることを除き、チャンバエクステンション及びバッフルノズルアセンブリ301と同様である。一実施形態では、クロスチャンネル437と436が、バッフルプレート433によってリダイレクトされることなく、処理ガスの一部を入口チャンネル214からチャンネル436及び中心チャンネル435を通して指向させ、処理ガスの別の一部を入口チャンネルからチャンネル437を通して指向させ、その後バッフルプレート433によってリダイレクトされるブロッカープレート431内に形成されてもよい。
図7は本発明の別の一実施形態に係るチャンバエクステンション及びバッフルノズルアセンブリ501の概略側断面図である。チャンバエクステンション及びバッフルノズルアセンブリ501は、チャンバエクステンション510と、ブロッカープレート531及びバッフルプレート533を含むプラズマバッフル530を含む。チャンバエクステンション及びバッフルノズルアセンブリ501は、ブロッカープレート531内に迂回チャンネルが形成されていないことを除いて、チャンバエクステンション及びバッフルノズルアセンブリ401と同様である。すべての処理ガスは、チャンバエクステンション210を通過し、長時間の電源への曝露の影響を受ける。処理ガスの一部は、複数の貫通穴534を通過し、バッフルプレート533によってリダイレクトされ、処理ガスの別の一部は、リダイレクトされることなく、中央チャンネル535を通過する。
上述のように、本発明の実施形態は、エッチングガス及び蒸着ガスの混合物が交互にプラズマチャンバに供給されるスルーシリコンビア(TSV)のためのエッチングプロセスなどの様々なプラズマプロセスを実行するために使用可能である。
TSVエッチングプロセスの間、処理される基板は、図1の処理システム100などのプラズマリアクタ内に配置できる。基板は、処理容積41内の基板支持体40上に配置することができる。
次に、エッチングガスの混合物が、チャンバエクステンション51を介して処理容積41に流れ、同時に電源がソレノイドコイルアンテナ71及び72に印加され、これによって拡張容積42及び処理容積41の両方の中でエッチングガスのプラズマを生成する。一実施形態では、アンテナ71及び72の電源は、プラズマ生成用に個別に制御することができる。一実施形態では、エッチングガスは、処理容積41内でプラズマと非解離エッチングガスの特定の混合物を得るために、バイパス通路を介して同時に流すことができる。
エッチングガスの流れを止めた後で、蒸着プロセスのために、蒸着ガスを処理容積41へ流して、アンテナ71、72に電源を印加することができる。一実施形態では、アンテナ71、72に印加される電源の配分は、処理容積41内でプラズマの均一性を得るために、エッチングプロセスから調整することができる。一実施形態では、蒸着ガスの早期解離及び無駄を避けるために、蒸着ガスはチャンバエクステンション51を通過させることなく迂回路を通して流してもよい。別の一実施形態では、蒸着ガスは、迂回路とチャンバエクステンション51の両方を通して流してもよい。
一実施形態では、エッチング及び蒸着プロセスは、ビアが形成されるまでを繰り返すことができる。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 内側容積を画定する外側本体であって、前記外側本体は、前記内側容積に開放する第1入口チャンネルを有し、前記外側本体は出口を有する外側本体と、
    前記内側容積内に配置され、前記内側容積を第1容積及び第2容積に分割する入口バッフルであって、前記第1入口チャンネルは、前記第1容積の第1端部近くに開放し、1以上の開口が前記第1容積の第2端部近くに配置され、前記1以上の開口は前記第1容積及び第2容積に接続される入口バッフルと、
    前記外側本体の前記出口の上方に配置される出口バッフルであって、前記出口バッフルは前記内側容積の前記第2容積に開放する1以上の第1貫通穴を有し、前記出口バッフルは前記第1貫通穴から流れ出るガス流の方向を変える出口バッフルを含むバッフルノズルアセンブリ。
  2. 前記出口バッフルは、前記外側本体の前記出口の上方に配置されたブロッカープレートであって、前記1以上の第1貫通穴が前記ブロッカープレート内に形成されているブロッカープレートと、
    前記外側本体の反対側で前記ブロッカープレートから延在するステムと、
    前記ステムの末端上に、前記ブロッカープレートと実質的に平行にあるバッフルプレートを含む請求項1記載のバッフルノズルアセンブリ。
  3. 前記外側本体は、前記内側容積に開放る第2入口チャンネルを有し、前記入口バッフルは前記内側容積を第3容積に更に分割し、前記第2入口チャンネルは前記第3容積に開放する請求項2記載のバッフルノズルアセンブリ。
  4. 前記出口バッフルは、前記ステム内に前記バッフルプレートを貫通して形成された中央ガスチャンネルを有し、1以上の迂回チャンネルが前記中央ガスチャンネルを前記第3容積に接続する請求項3記載のバッフルノズルアセンブリ。
  5. 前記中央ガスチャンネルは、前記出口バッフル内の貫通穴であり、前記第2容積と流体連結している請求項4記載のバッフルノズルアセンブリ。
  6. 前記外側本体は、前記内側容積を画定する側壁及び上部を含み、前記出口は前記上部と対向する開口であり、前記入口バッフルは、前記外側本体の前記側壁と実質的に平行な側壁を含み、前記入口バッフルの前記側壁は、前記内側容積を前記第1容積及び前記第2容積に分割し、前記第1容積は前記外側本体の側壁及び前記入口バッフルの側壁によって画定され、前記第2容積は前記入口バッフルの前記側壁及び前記外側本体の前記上部によって画定される請求項1記載のバッフルノズルアセンブリ。
  7. 前記入口バッフルの前記側壁は、上側に形成された複数のスロットを有し、前記複数のスロット及び前記外側本体の前記上部は、前記第1容積及び第2容積に接続する前記1以上の開口を形成する請求項6記載のバッフルノズルアセンブリ。
  8. 前記内側容積は実質的に円筒形であり、前記入口バッフルは外側に螺旋状の溝を形成した円筒状の側壁であり、前記螺旋状の溝は前記第1容積内に渦流れを可能にする請求項6記載のバッフルノズルアセンブリ。
  9. 処理容積を画定するチャンバ本体であって、
    側壁と、
    前記処理容積へ処理ガスを導入するように適合された中央開口を有する蓋を含むチャンバ本体と、
    前記蓋の上方で前記チャンバ本体の外側に配置されたソレノイドコイルアンテナであって、前記ソレノイドコイルアンテナは内側アンテナ容積を形成し、前記中央開口と同心であるソレノイドコイルアンテナと、
    前記蓋の中央開口に結合され、前記内側アンテナ容積内に部分的に配置された請求項1〜8のいずれか1項記載のバッフルノズルアセンブリを含む基板処理システム。
  10. 前記ソレノイドアンテナは、螺旋状に巻かれた1以上の導体を含み、前記内側アンテナ容積は実質的に円筒形である請求項9記載の基板処理システム。
  11. 請求項9又は10のいずれか1項記載の処理システムの処理容積内に基板を位置決めする工程と、
    前記外側本体を通して第1処理ガスを前記処理容積に流す工程と同時に、
    プラズマ電源を前記ソレノイドコイルアンテナに印加し、これによって前記外側本体の前記内側容積と前記処理容積の両方の中で前記第1処理ガスのプラズマを生成する工程を含む基板を処理する方法。
  12. 前記内側容積を通して前記第1処理ガスを前記処理容積に流す工程は、
    前記内側容積内に配置された入口バッフルを通して前記第1処理ガスを流す工程と、
    前記処理容積内の前記蓋の前記中央開口の下に配置された出口バッフルを用いて前記内側容積から流れ出る前記第1処理ガスの向きを変える工程を含む請求項11記載の方法。
  13. 前記内側容積を通して前記第1処理ガスを前記処理容積に流しながら、前記内側容積を通過することなく、迂回チャンネルを通して前記第1処理ガスを前記処理容積に流す工程を更に含む請求項12記載の方法。
  14. プラズマ電源を前記ソレノイドコイルアンテナに印加し、これによって前記内側容積と前記処理容積の両方の中で前記第1処理ガスのプラズマを生成する工程は、前記ソレノイドコイルアンテナのサイズを増大させることによって、又は前記プラズマ電源の電力レベルを増加させることによって、前記第1処理ガスの解離速度を減少させる工程を含む請求項11記載の方法。
  15. プラズマ電源を前記ソレノイドコイルアンテナに印加し、これによって前記内側容積と前記処理容積の両方の中で前記第1処理ガスのプラズマを生成する工程は、前記ソレノイドコイルアンテナのサイズを増大させることによって、前記処理容積内のプラズマ均一性を向上させる工程を含む請求項11記載の方法。
JP2012530921A 2009-09-25 2010-09-09 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置 Expired - Fee Related JP5728482B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US24586909P 2009-09-25 2009-09-25
US61/245,869 2009-09-25
PCT/US2010/048269 WO2011037757A2 (en) 2009-09-25 2010-09-09 Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor

Publications (2)

Publication Number Publication Date
JP2013506292A JP2013506292A (ja) 2013-02-21
JP5728482B2 true JP5728482B2 (ja) 2015-06-03

Family

ID=43779142

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012530921A Expired - Fee Related JP5728482B2 (ja) 2009-09-25 2010-09-09 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置

Country Status (6)

Country Link
US (2) US8753474B2 (ja)
JP (1) JP5728482B2 (ja)
KR (1) KR101450015B1 (ja)
CN (1) CN102763198B (ja)
TW (2) TW201123291A (ja)
WO (1) WO2011037757A2 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101450015B1 (ko) * 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
US9111722B2 (en) * 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
JP6746209B2 (ja) * 2016-08-31 2020-08-26 株式会社ディスコ プラズマエッチング装置
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10283329B2 (en) * 2017-07-10 2019-05-07 Applied Materials, Inc. ICP source for M and W-shape discharge profile control
CN111524780A (zh) * 2019-02-02 2020-08-11 中微半导体设备(上海)股份有限公司 一种用于超深宽比刻蚀的等离子反应器及其刻蚀方法
CN113707527B (zh) * 2020-05-21 2022-07-29 江苏鲁汶仪器有限公司 一种阻挡等离子体反流的分离式进气结构
GB202020822D0 (en) * 2020-12-31 2021-02-17 Spts Technologies Ltd Method and apparatus
CN114501764B (zh) * 2022-01-26 2024-02-09 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
CN116741614B (zh) * 2023-08-15 2023-10-31 无锡邑文电子科技有限公司 刻蚀设备

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU612118A1 (ru) * 1976-06-01 1978-06-25 Ордена Ленина, Ордена Трудового Красного Знамени Московский Станкостроительный Завод Имени Серго Орджоникидзе Радиационна газова горелка
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
US20030010453A1 (en) 1998-03-18 2003-01-16 Jyunichi Tanaka Plasma processing apparatus and plasma processing method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
WO2002052062A1 (fr) * 2000-12-27 2002-07-04 Tokyo Electron Limited Dispositif de traitement
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
TWI241868B (en) 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
US6849554B2 (en) 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6846746B2 (en) 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US7074723B2 (en) 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6900133B2 (en) 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7571698B2 (en) * 2005-01-10 2009-08-11 Applied Materials, Inc. Low-frequency bias power in HDP-CVD processes
US20060177600A1 (en) * 2005-02-08 2006-08-10 Applied Materials, Inc. Inductive plasma system with sidewall magnet
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20060228490A1 (en) * 2005-04-07 2006-10-12 Applied Materials, Inc. Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
KR100683174B1 (ko) * 2005-06-17 2007-02-15 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
KR100698618B1 (ko) * 2005-07-12 2007-03-22 삼성전자주식회사 플라즈마 가속장치 및 그것을 구비하는 플라즈마 처리시스템
US7811411B2 (en) * 2005-08-09 2010-10-12 Applied Materials, Inc. Thermal management of inductively coupled plasma reactors
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
KR100655445B1 (ko) 2005-10-04 2006-12-08 삼성전자주식회사 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
CN101962754B (zh) * 2009-07-24 2013-03-20 鸿富锦精密工业(深圳)有限公司 镀膜装置
KR101450015B1 (ko) * 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
CN102725438B (zh) * 2010-03-16 2014-06-18 东京毅力科创株式会社 成膜装置
US8920599B2 (en) * 2010-10-19 2014-12-30 Applied Materials, Inc. High efficiency gas dissociation in inductively coupled plasma reactor with improved uniformity
KR101279353B1 (ko) * 2011-03-10 2013-07-04 (주)제이하라 플라즈마 발생장치

Also Published As

Publication number Publication date
WO2011037757A2 (en) 2011-03-31
CN102763198A (zh) 2012-10-31
WO2011037757A3 (en) 2011-06-23
US20110073564A1 (en) 2011-03-31
KR101450015B1 (ko) 2014-10-13
US20140256148A1 (en) 2014-09-11
US8753474B2 (en) 2014-06-17
US9070633B2 (en) 2015-06-30
CN102763198B (zh) 2015-05-06
JP2013506292A (ja) 2013-02-21
TW201511122A (zh) 2015-03-16
KR20120073292A (ko) 2012-07-04
TW201123291A (en) 2011-07-01

Similar Documents

Publication Publication Date Title
JP5728482B2 (ja) 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
KR102405728B1 (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
US10424464B2 (en) Oxide etch selectivity systems and methods
CN110114863B (zh) 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
JP5514310B2 (ja) プラズマ処理方法
JP4943047B2 (ja) 処理装置及び処理方法
US9378969B2 (en) Low temperature gas-phase carbon removal
KR101432850B1 (ko) 고속 가스 스위칭 플라즈마 프로세싱 장치
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20090272717A1 (en) Method and apparatus of a substrate etching system and process
TWI658528B (zh) 氣體供給方法及半導體製造裝置
KR20180018824A (ko) 조정 가능한 원격 해리
JP2008235611A (ja) プラズマ処理装置及びプラズマ処理方法
JP2012094911A (ja) プラズマ処理装置及び処理方法
KR101098975B1 (ko) 기판 처리 장치
KR20240037737A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130904

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140819

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141118

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141217

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141225

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150316

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150406

R150 Certificate of patent or registration of utility model

Ref document number: 5728482

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees