KR100655445B1 - 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비 - Google Patents

플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비 Download PDF

Info

Publication number
KR100655445B1
KR100655445B1 KR1020050093016A KR20050093016A KR100655445B1 KR 100655445 B1 KR100655445 B1 KR 100655445B1 KR 1020050093016 A KR1020050093016 A KR 1020050093016A KR 20050093016 A KR20050093016 A KR 20050093016A KR 100655445 B1 KR100655445 B1 KR 100655445B1
Authority
KR
South Korea
Prior art keywords
plasma
sources
etching
plasma processing
processing apparatus
Prior art date
Application number
KR1020050093016A
Other languages
English (en)
Inventor
한석현
박영규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020050093016A priority Critical patent/KR100655445B1/ko
Priority to US11/513,154 priority patent/US20070074814A1/en
Priority to CNA2006101412945A priority patent/CN1945793A/zh
Priority to JP2006272133A priority patent/JP2007103944A/ja
Application granted granted Critical
Publication of KR100655445B1 publication Critical patent/KR100655445B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 플라즈마 처리 방법에 관한 것이다. 본 발명의 플라즈마 처리 방법에 의하면, 하나의 공정 챔버에 서로 상이한 종류의 복수의 플라즈마 소스들을 제공하고, 공정 진행시 사용되는 플라즈마 소스를 변화시켜 공정을 진행한다.
본 발명에 의하면 플라즈마 소스의 종류를 공정변수로서 사용할 수 있으므로 공정 효율을 더욱 향상시킬 수 있다.
플라즈마, 플라즈마 소스, 식각

Description

플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비{APPARATUS AND METHOD FOR TREATING PLASMA, AND FACILITY FOR MANUFACTURING SEMICONDUCTOR DEVICES}
도 1a 내지 도 1g는 일반적으로 사용되고 있는 플라즈마 처리 장치의 다양한 예들을 보여주는 도면;
도 2는 본 발명의 플라즈마 처리 장치의 일 실시예를 개략적으로 보여주는 도면;
도 3은 도 2의 플라즈마 처리 장치의 일 예를 보여주는 도면;
도 4 내지 도 6은 각각 도 2의 플라즈마 처리 장치의 다른 예들을 보여주는 도면들;
도 7은 산화막을 식각하는 경우 사용되는 플라즈마 소스의 일 예를 보여주는 도면;
도 8은 폴리막과 산화막을 식각하는 경우 사용되는 플라즈마 소스의 일 예를 보여주는 도면; 그리고
도 9는 플라즈마 처리 장치를 가지는 반도체 제조 설비의 일 예를 개략적으로 보여주는 도면이다.
* 도면의 주요 부분에 대한 부호의 설명 *
100 : 공정 챔버 120(120′) : 지지 부재(하부 전극)
142(142′) : 샤워 헤드(상부 전극) 200 : 플라즈마 발생부재
220 : 플라즈마 소스 300 : 반도체 제조 설비
본 발명은 기판을 처리하는 장치 및 방법에 관한 것으로, 더 상세하게는 플라즈마를 이용하여 기판을 처리하는 장치 및 방법에 관한 것이다.
반도체 소자를 제조하기 위해서는 다양한 공정들이 요구된다. 이들 공정들 중 증착, 식각, 그리고 세정 등과 같은 많은 수의 공정들은 공정가스로부터 플라즈마를 생성하고 이를 웨이퍼와 같은 반도체 기판 상에 공급함으로써 이루어진다. 이러한 플라즈마 처리 공정을 수행하는 장치는 공정가스로부터 플라즈마를 발생하는 플라즈마 소스를 구비한다.
예컨대, 플라즈마 식각 장치에서 사용되는 플라즈마 소스로는 축전 결합형 플라즈마(Capacitively Coupled Plasma, CCP), 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP), 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE) 또는 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE), 전자 공명 플라즈마(Electron Cyclotron Resonance, ECR), 플라즈 마 타입(Plasma etching), 그리고 리모트 플라즈마(Remote Plasma) 등이 있다.
도 1a 내지 도 1g는 각각 플라즈마 소스로서 축전 결합형 플라즈마, 유도 결합형 플라즈마, 반응 이온 플라즈마, 자기 강화 반응 이온 플라즈마, 플라즈마 타입, 리모트 플라즈마, 그리고 전자 공명 플라즈마를 가지는 플라즈마 처리 장치의 예를 개략적으로 보여주는 도면이다. 도 1a 내지 도 1g에서 인출번호 10, 12, 14, 16은 각각 공정챔버, 상부 전극, 하부 전극, 그리고 고주파 발생기이다. 상술한 각각의 플라즈마 소스의 구조를 개략적으로 설명하면 다음과 같다. 도 1a를 참조하면, 축전 결합형 플라즈마는 상부 전극(12) 및 하부 전극(14) 각각에 고주파를 인가하는 구조를 가진다. 도 1b를 참조하면, 유도 결합형 플라즈마는 공정 챔버(10) 외측에 배치된 코일(18)에 고주파를 전력을 인가하는 구조를 가진다. 도 1c를 참조하면, 반응 이온 플라즈마는 하부 전극(14)에 고주파를 인가하고, 상부 전극(12)을 접지하는 구조를 가진다. 도 1d를 참조하면, 자기 강화 유도 결합형 플라즈마는 유도 결합형 플라즈마의 구조에서 공정 챔버(10)의 외측에 자석(20)이 더 제공되는 구조를 가진다. 도 1e를 참조하면, 플라즈마 타입은 상부 전극(12)에 고주파를 인가하고, 하부 전극(14)을 접지하는 구조를 가진다. 도 1g를 참조하면, 리모트 플라즈마(22)는 공정 챔버(10)의 외부에서 플라즈마를 생성시킨 후 공정 챔버(10) 내로 공급하는 구조를 가진다. 전자 공명 플라즈마는 마이크로파 발생 장치(24a)와 전자석(24b)이 제공된 구조를 가진다. 각각의 플라즈마 소스는 당업계에서 널리 알려져 있으므로 상세한 설명은 생략한다.
일반적으로 사용되고 있는 플라즈마 처리 장치는 상술한 플라즈마 소스들 중 어느 하나의 플라즈마 소스만을 구비한다. 플라즈마 처리 장치에서 식각 공정 수행시 식각 효율에 영향을 미치는 변수들(variables)은 공정 압력 및 공정 온도, 공정가스의 량, 공정가스의 종류, 고주파 인가시간, 그리고 고주파 전력의 크기 등이 있으며, 식각 효율 향상을 위해 상술한 변수들을 조절하면서 공정을 진행한다. 그러나 상술한 변수들만을 변화하여 공정 수행시 식각 효율 향상에 한계가 있다.
또한, 일반적인 플라즈마 처리 장치는 이에 제공된 플라즈마 소스에 적합한 공정만을 수행하므로 적용가능한 공정 수가 크게 제한된다.
본 발명은 플라즈마 처리 효율을 향상시킬 수 있는 플라즈마 처리 장치 및 방법을 제공하는 것을 목적으로 한다.
또한, 본 발명은 다양한 종류의 공정에 적용 가능한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
본 발명은 플라즈마 처리 장치를 제공한다. 상기 플라즈마 처리 장치는 공정 챔버와 플라즈마 발생 부재를 가진다. 상기 공정 챔버는 기판을 지지하는 지지부재 및 기판 상으로 공정가스를 공급하는 가스 공급 부재를 가진다. 상기 플라즈마 발생 부재는 상기 공정가스로부터 플라즈마를 생성하는 플라즈마 소스들을 가진다. 상기 플라즈마 소스들은 서로 상이한 종류로 복수 개 제공된다.
상기 플라즈마 발생부재에는 공정 진행 중 상기 플라즈마 소스들 중 선택된 플라즈마 소스만이 사용되도록 상기 플라즈마 소스들을 제어하는 제어기가 제공될 수 있다. 상기 제어기는 공정 진행 중 사용되는 플라즈마 소스가 변화되도록 상기 플라즈마 소스들을 제어할 수 있다.
일 예에 의하면, 상기 플라즈마 소스들은 축전 결합형 플라즈마(Capacitively Coupled Plasma, CCP), 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP), 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE), 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE), 전자 공명 플라즈마(Electron Cyclotron Resonance, ECR), 플라즈마 타입(Plasma type), 리모트 플라즈마(Remote Plasma) 중 선택될 수 있다.
또한, 본 발명은 반도체 제조 설비를 제공한다. 상기 반도체 제조 설비는 이송로봇이 제공된 트랜스퍼 챔버와 상기 트랜스퍼 챔버의 둘레에 배치된 플라즈마 처리 장치들을 포함한다. 각각의 상기 플라즈마 처리 장치에는 하나의 플라즈마 소스가 제공되고, 상기 플라즈마 처리 장치들 간에 제공된 플라즈마 소스는 서로 상이하다.
또한, 상기 반도체 제조 설비에는 상기 트랜스퍼 챔버 둘레에 배치되며 애싱 공정을 수행하는 장치와 상기 트랜스퍼 챔버 둘레에 배치되며 웨트 스트립 공정을 수행하는 장치가 더 제공될 수 있다.
또한, 본 발명은 플라즈마 처리 방법을 제공한다. 상기 플라즈마 처리 방법은 하나의 공정 챔버에 서로 상이한 종류의 복수의 플라즈마 소스들을 제공하고, 공정 진행시 사용되는 플라즈마 소스를 변화시켜 공정을 진행한다.
공정 진행시 후기에 사용되는 플라즈마 소스는 초기에 사용되는 플라즈마 소 스보다 식각률이 낮은 종류인 것이 바람직하다.
일 예에 의하면, 상기 공정에 사용되는 플라즈마 소스는 축전 결합형 플라즈마와 유도 결합형 플라즈마이고, 식각 공정 진행시 초기에는 유도 결합형 플라즈마를 사용하여 공정을 진행하고, 후기에는 축전 결합형 플라즈마를 사용하여 공정을 진행한다. 또한, 식각되는 막이 복수의 막들인 경우, 식각되는 막이 변화될 때 상기 플라즈마 소스가 변화될 수 있다.
또한, 본 발명의 플라즈마 처리 방법에 의하면, 기판 상에 형성된 단일막을 식각하는 공정 진행시 사용되는 플라즈마 소스의 종류를 변화하면서 식각 공정을 수행한다.
일 예에 의하면, 상기 공정은 서로 상이한 종류의 플라즈마 소스들이 복수개 제공된 하나의 공정 챔버 내에서 이루어진다.
다른 예에 의하면, 반도체 제조 설비는 서로 인접하여 배치되며 각각에는 하나의 플라즈마 소스가 제공되고 서로 간에는 상이한 종류의 플라즈마 소스가 제공된 복수의 플라즈마 처리 장치들을 가지고, 상기 공정은 상기 플라즈마 처리 장치들간 기판이 이동하면서 이루어진다.
이하, 첨부한 도면 2 내지 도 9를 참조하여 본 발명의 실시예들을 상세하게 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되는 것으로 해석되어서는 안 된다. 본 실시예는 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명 하기 위해 제공된 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 위해 과장될 수 있다.
본 실시예에서는 플라즈마 처리 장치로서 식각 장치를 예로 들어 설명한다. 그러나 본 발명의 기술적 사상은 식각 장치 이외에 세정 장치 또는 증착 장치 등과 같이 플라즈마를 이용하여 공정을 수행하는 다른 종류의 장치에도 적용 가능하다. 또한, 본 실시예에서 기판은 웨이퍼(W)인 경우를 예로 들어 설명하나, 이 외에 기판은 유리 기판 등과 같이 다른 종류일 수 있다.
도 2는 본 발명의 플라즈마 처리 장치(1)의 일 예를 개략적으로 보여주는 도면이다. 도 2를 참조하면, 플라즈마 처리 장치(1)는 공정 챔버(100)와 플라즈마 발생 부재(200)를 가진다.
공정 챔버(100)는 공정이 수행되는 공간을 제공하며, 웨이퍼(W)를 지지하는 지지 부재(도 3의 120)와 공정 가스를 공급하는 가스 공급부재(도 3의 140)를 가진다. 플라즈마 발생 부재(200)는 공정 챔버(100)로 공급되는 공정 가스로부터 플라즈마를 생성한다. 플라즈마 발생 부재(200)는 플라즈마 소스들(220)과 이들을 제어하는 제어기(240)를 가진다.
플라즈마 소스들(220)은 서로 상이한 종류로 적어도 2개 이상 제공된다. 예컨대, 플라즈마 소스(220)는 축전 결합형 플라즈마(Capacitively Coupled Plasma, CCP), 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP), 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE), 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE), 전자 공명 플라즈마 (Electron Cyclotron Resonance, ECR), 플라즈마 타입(Plasma etching), 리모트 플라즈마(Remote Plasma) 중 선택될 수 있다. 또한, 플라즈마 소스들(220)은 상술한 플라즈마 소스들(220) 이외의 다른 종류이거나 이들로부터 변형된 종류일 수 있다. 각각의 플라즈마 소스(220)의 구조의 일 예는 도 1a 내지 도 1g와 같으며, 이들 구조는 당업자에게 널리 알려져 있으므로 상세한 설명은 생략한다.
제어기(240)는 플라즈마 소스들(220) 중 공정에 사용되는 플라즈마 소스를 선택한다. 공정에 사용되는 플라즈마 소스(220)는 하나이거나 복수개일 수 있다. 복수개의 플라즈마 소스(220)가 사용되는 경우, 공정 진행 중 사용되는 플라즈마 소스는 변화된다.
웨이퍼(W) 상의 막을 효과적으로 식각하기 위해 공정 변수들을 조절하면서 공정을 진행한다. 본 발명의 플라즈마 처리 장치(1) 사용시 공정 변수에는 공정 압력, 공정 온도, 공정가스의 량, 공정가스의 종류, 고주파 전력의 크기 및 인가시간 등과 같이 일반적으로 알려진 변수들 이외에 플라즈마 소스(220)가 제공된다. 각각의 공정 변수들은 공정 진행 중 고정되거나 변화될 수 있다. 공정 변수로서 플라즈마 소스(220)의 추가는 조절 가능한 변수의 증가로 인해 식각 효율을 더욱 향상시킬 수 있다.
도 3은 본 발명의 플라즈마 처리 장치(1a)의 일 실시예를 보여준다. 도 3을 참조하면, 플라즈마 처리 장치(1a)는 공정 챔버(100)와 플라즈마 발생 부재(200)를 가진다. 공정 챔버(100)는 처리실(100a)과 배기실(100b)을 가진다. 처리실(100a)은 공정이 수행되는 공간을 제공하며, 배기실(100b)은 처리실(100a)로부터 배기되는 반응 부산물 등을 유입하는 공간을 제공한다. 처리실(100a)은 배기실(100b)의 상부에 위치된다. 처리실(100a)의 중앙에는 웨이퍼(W)가 놓여지는 지지 부재(120)가 배치된다. 지지 부재(120)의 하단 둘레에는 처리실(100a)의 공간과 배기실(100b)의 공간을 나누는 배기판(160)이 설치된다. 배기판(160)은 대체로 링 형상을 가진다. 배기판(160)의 내측면은 지지 부재(120)에 접하고, 외측면은 공정 챔버(100)의 내벽에 접한다. 배기판(160)에는 상하방향으로 관통된 복수의 배기홀(160a)들이 형성된다. 처리실(100a) 내 반응 부산물들은 배기홀(160a)들을 통해 배기실(100b)로 배기된다. 배기실(100b)에는 공정 챔버(100) 내부를 공정 압력으로 유지하도록 펌프(도시되지 않음)가 결합되고 반응 부산물들을 외부로 배출하는 배기관(170)이 연결된다.
가스 공급부재(140)는 샤워 헤드(142)와 가스 공급관들(146, 148)을 가진다. 샤워 헤드(142)는 처리실(100a) 내에서 지지 부재(120)와 대향되도록 배치된다. 샤워 헤드(142)는 처리실(100a)의 상부벽으로부터 이격되어 배치되는 분사판(142a)과 분사판(142a)의 외측 가장자리로부터 연장되어 처리실(100a)의 상부벽에 결합되는 측벽(142b)을 가진다. 분사판(142a)은 웨이퍼(W)와 유사한 직경을 가지고, 상하 방향으로 관통된 복수의 홀들이 형성된다. 상술한 구조로 인해 처리실(100a)의 상부벽 및 샤워 헤드(142) 사이에는 공정가스가 도입되는 공간(143)이 제공된다. 가스 공급관(146, 148)은 외부의 가스 저장부(도시되지 않음)로부터 공정가스를 상술한 공간(143) 내로 공급한다. 가스 공급관(146, 148)은 복수개가 제공되며, 각각의 가스 공급관(146, 148)은 서로 상이한 종류의 공정 가스를 공급한다. 각각의 가스 공 급관(146, 148)에는 그 내부를 개폐하는 개폐 밸브(146a, 148a)와 공급되는 공정 가스의 유량을 조절하는 유량 조절기(146b, 148b)가 설치될 수 있다.
플라즈마 발생 부재(200)는 플라즈마 소스들(220)과 이들을 제어하는 제어기(240)를 가진다. 플라즈마 소스(220)로는 축전 결합형 플라즈마(220a)와 유도 결합형 플라즈마(220b)가 제공된다. 축전 결합형 플라즈마(220a)는 상부 전극(142′)에 연결되며 고주파를 인가하는 고주파 발생기(222a)가 설치된 제 1 고주파 라인(223a)과 하부 전극(120′)에 연결되며 고주파를 인가하는 고주파 발생기(224a)가 설치된 제 2 고주파 라인(225a)을 가진다. 지지 부재(120) 내에는 하부 전극(120′)이 제공되고, 샤워 헤드(142)는 금속 재질로 이루어져 상부 전극(142′)으로서 기능한다. 유도 결합형 플라즈마(220b)는 공정 챔버(100)의 외측에 설치되는 코일(226b)을 가진다. 코일(226b)의 일단에는 코일(226b)에 고주파를 인가하는 고주파 발생기(222b)가 설치된 고주파 라인(223b)이 연결되고, 타단에는 접지 라인(228b)이 연결된다.
제어기(240)는 공정 진행시 선택된 플라즈마 소스(220)만이 사용되도록 플라즈마 소스들(220a, 220b)을 제어한다. 제어기(240)는 공정 진행 중 축전 결합형 플라즈마(220a)와 유도 결합형 플라즈마(220b) 중 선택된 어느 하나의 플라즈마 소스가 사용되고, 일정 시간이 경과되면 다른 하나의 플라즈마 소스가 사용되도록 플라즈마 소스들(220)을 제어한다. 선택적으로 공정 진행 중 어느 하나의 플라즈마 소스만이 계속적으로 사용될 수 있다.
도 4 내지 도 6은 각각 플라즈마 처리 장치(1b, 1c, 1d)의 다른 예를 보여준 다. 도 4 내지 도 6에서는 도면의 간소화를 위해 상부 전극(142′) 및 하부 전극(120′)을 가지는 공정 챔버(100)는 개략적으로 도시하였다. 아래에서는 플라즈마 발생 부재(200)의 구조를 중심으로 간략하게 설명한다.
도 4는 플라즈마 소스들(220)로 축전 결합형 플라즈마(220a)와 플라즈마 타입(220c)을 가지는 플라즈마 처리 장치(1b)의 구조를 개략적으로 보여준다. 축전 결합형 플라즈마(220a)는 상부 전극(142′)에 연결되며 고주파를 인가하는 고주파 발생기(222a)가 설치된 제 1 고주파 라인(223a)과 하부 전극(120′)에 연결되며 고주파를 인가하는 고주파 발생기(224a)가 설치된 제 2 고주파 라인(225a)을 가진다. 플라즈마 타입(220c)은 상부 전극(142′)에 연결되며 고주파를 인가하는 고주파 발생기(222c)가 설치된 고주파 라인(223c)과 하부 전극(120′)에 연결되며 접지되는 접지 라인(225c)을 가진다. 접지 라인(225c)에는 온/오프(on/off) 스위치(224c)가 설치된다.
제어기(240)는 공정 진행시 선택된 플라즈마 소스가 사용되도록 플라즈마 소스들(220a, 220c)을 제어한다. 축전 결합형 플라즈마(220a)에 의해 공정가스로부터 플라즈마가 생성되는 경우 접지 라인(225c)에서 스위치(224c)는 오프되고, 플라즈마 타입(220c)에 의해 공정가스로부터 플라즈마가 생성되는 경우 스위치(224c)는 온(on) 된다.
도 5는 플라즈마 소스들(220)로 플라즈마 타입(220c)과 반응 이온 플라즈마(220d)를 가지는 플라즈마 처리 장치(1c)의 구조를 개략적으로 보여준다. 플라즈마 타입(220c)은 상부 전극(142′)에 연결되며 고주파를 인가하는 고주파 발생기 (222c)가 설치된 고주파 라인(223c)과 하부 전극(120′)에 연결되며 접지되는 접지 라인(225c)을 가진다. 접지 라인(225c)에는 온/오프(on/off) 스위치(224c)가 설치된다. 반응 이온 플라즈마(220d)는 하부 전극(120′)에 연결되며 고주파를 인가하는 고주파 발생기(224d)가 설치된 고주파 라인(225d)과 상부 전극(142′)에 연결되며 접지되는 접지 라인(222d)을 가진다. 접지 라인(222d)에는 온/오프 스위치(225d)가 설치된다. 제어기(240)는 공정 진행시 선택된 플라즈마 소스(220)만이 사용되도록 플라즈마 소스들(220c, 220d)을 제어한다.
도 6은 플라즈마 소스들(220)로 리모트 플라즈마(220e)와 자기 강화 반응 이온 플라즈마(220f)를 가지는 플라즈마 처리 장치(1d)의 구조를 개략적으로 보여준다. 리모트 플라즈마(220e)는 공정 챔버(100)의 외부에 제공되어 공정 가스가 공정 챔버(100)로 유입되기 전에 공정 가스로부터 플라즈마를 생성한다. 자기 강화 반응 이온 플라즈마(1d)는 하부 전극(120′)에 연결되며 고주파를 인가하는 고주파 발생기(225f)가 설치된 고주파 라인(224f)과 상부 전극(142′)에 연결되며 접지되는 접지 라인(222f)을 가진다. 접지 라인(222f)에는 온/오프 스위치(223f)가 설치된다. 공정 챔버(100)의 외측에는 자석(226f)이 제공된다.
상술한 도 3 내지 도 6에서는 2개의 플라즈마 소스들(220)을 가지는 플라즈마 처리 장치(1a, 1b, 1c, 1d))의 예들을 일부 도시하였다. 그러나 상술한 예들 이외에 본 발명에서 플라즈마 처리 장치(1)에 제공되는 플라즈마 소스들(220)의 조합은 매우 다양하게 변화될 수 있다. 또한, 플라즈마 처리 장치(1)에는 3개 이상의 플라즈마 소스들(220)이 제공될 수 있다.
본 발명에 의하면, 하나의 플라즈마 처리 장치(1)에 복수의 플라즈마 소스들(220)이 제공되므로, 본 발명의 플라즈마 처리 장치(1)는 다양한 공정에 사용할 수 있다. 예컨대, 도 3의 플라즈마 처리 장치(1a) 사용시 플라즈마 소스(220)로서 축전 결합형 플라즈마(220a)가 적합한 공정 뿐만 아니라, 유도 결합형 플라즈마(220b)가 적합한 공정에서 사용 가능하다.
또한, 본 발명에 의하면, 공정 진행시 플라즈마 소스(220)의 변화가 가능하므로, 공정가스의 종류, 공정압력, 공정온도 등과 더불어 플라즈마 소스(220)의 종류도 공정 변수로서 작용한다. 따라서 선택 가능한 변수의 증가로 인해 공정 효율을 더욱 향상시킬 수 있다.
다음에는 플라즈마 처리 장치(1)를 이용하여 식각 공정을 수행하는 방법의 일 예를 설명한다. 식각 공정은 웨이퍼(W) 상에 증착된 막에 홀 패턴 또는 라인 패턴을 형성한다. 공정에 영향이 없는 시점에서는 식각률이 높은 플라즈마 소스를 사용하여 식각 공정을 수행하고, 공정에 크게 영향을 미치는 시점에서는 식각률이 낮은 플라즈마 소스를 사용하여 식각 공정을 수행한다. 또한, 웨이퍼(W)에 증착된 막에 딥 컨택홀(deep contact hole)을 형성하는 경우, 처음에는 공정에 소요되는 시간을 단축하도록 식각률이 높은 플라즈마 소스를 사용하여 식각 공정을 수행하고, 이후에는 미세 튜닝(fine tunning)이 가능하도록 식각률이 낮은 플라즈마 소스를 사용하여 식각 공정을 수행한다. 이는 식각되는 막이 단일막인 경우뿐 아니라 복수의 막을 식각하는 경우에도 적용된다.
도 7은 도 3의 플라즈마 처리 장치(1a)를 사용하여 웨이퍼(W)에 증착된 산화 막(22)에 딥 컨택홀(23)을 형성하는 경우 공정 시점에 따라 사용되는 플라즈마 소스(220)의 예를 보여준다. 도 7에 도시된 바와 같이, 처음에는 식각률이 높은 유도 결합형 플라즈마(220b)를 플라즈마 소스(220)로 사용한다. 이후에는 식각률이 낮은 축전 결합형 플라즈마(220a)를 플라즈마 소스(220)로 사용한다. 공정 진행 중 상술한 플라즈마 소스(220)의 변화와 함께, 공정 압력, 공정 온도, 공정 가스, 고주파 인가 시간, 고주파 전력의 크기 등 공정에 영향을 미치는 다른 변수들도 변화될 수 있다.
또한, 식각되는 막이 복수의 막들인 경우, 식각되는 막의 종류에 따라 플라즈마 소스(220)를 변화하여 공정을 진행할 수 있다. 예컨대, 도 3의 플라즈마 처리 장치(1a)를 사용하여 웨이퍼(W)에 증착된 산화막(22)과 폴리막(24)에 컨택홀(23)을 형성하는 경우, 도 8에 도시된 바와 같이, 폴리막(24) 식각시에는 유도 결합형 플라즈마(220b)를 사용하여 공정을 수행하고, 산화막(22) 식각시에는 축전 결합형 플라즈마(220a)를 사용하여 공정을 수행할 수 있다. 공정 진행 중 상술한 플라즈마 소스(220)의 변화와 함께, 공정 압력, 공정 온도, 공정 가스 등 공정에 영향을 미치는 다른 변수들도 변화될 수 있다. 예컨대, 폴리막(24)을 식각하는 경우 공정 가스로 아르곤(Ar), 헬륨(He), 또는 사불화탄소(CF4) 등이 사용되고, 산화막(22)을 식각하는 경우 공정 가스로 염소(Cl2), 불화유황(SF6), 산소(O2), 또는 헬륨(He) 등이 사용될 수 있다.
상술한 예들은 공정을 수행하는 방법의 일 예를 보여주는 것이다. 사용되는 플라즈마 소스(220)의 순서 또는 종류 등은 플라즈마 소스(220) 이외의 공정 변수에 따라 다양하게 변화될 수 있다.
도 9는 본 발명의 다른 실시예로 플라즈마 처리 장치들(360)을 가지는 반도체 제조 설비(300)를 개략적으로 보여주는 도면이다. 도 9를 참조하면, 반도체 제조 설비(300)는 트랜스퍼 챔버(320), 로드록 챔버들(340), 그리고 복수의 플라즈마 처리 장치들(360)을 가진다. 트랜스퍼 챔버(320)는 중앙에 배치되고, 그 내부에는 웨이퍼(W)를 이송하는 로봇(322)이 설치된다. 트랜스퍼 챔버(320)의 둘레에는 로드록 챔버들(340)과 플라즈마 처리 장치들(360)이 제공된다. 이 외에, 웨이퍼(W)에 일련의 공정을 계속적으로 수행하도록 타 공정을 수행하는 장치들이 더 제공될 수 있다. 예컨대, 반도체 제조 설비(300)가 식각 공정을 수행하는 설비인 경우, 플라즈마 처리 장치들(360) 이외에 애싱(ashing) 공정을 수행하는 장치(380), 또는 웨트 스트립(wet strip) 공정을 수행하는 장치(390) 등이 더 제공될 수 있다. 또한, 트랜스퍼 챔버(320) 둘레에는 현상 공정을 수행하는 장치(도시되지 않음)가 더 제공될 수 있다.
각각의 플라즈마 처리 장치(360)들 각각에는 하나의 플라즈마 소스가 제공되고, 플라즈마 처리 장치들(360) 간에는 상이한 종류의 플라즈마 소스가 제공된다. 일 예에 의하면, 반도체 제조 설비(300)에는 제 1 플라즈마 처리 장치(360a), 제 2 플라즈마 처리 장치(360b), 애싱 장치(380), 그리고 웨트 스트립 장치(390)가 시계방향으로 순차적으로 트랜스퍼 챔버(320) 둘레에 제공된다. 반도체 제조 설비(300)는 웨이퍼(W)에 증착된 막에 홀 패턴 또는 라인 패턴 등을 형성하기 위해 식각 공 정을 수행한다. 제 1 플라즈마 처리 장치(360a)는 플라즈마 소스로써 유도 결합형 플라즈마를 가지고, 제 2 플라즈마 처리 장치(360b)는 플라즈마 소스로써 축전 결합형 플라즈마를 가진다. 웨이퍼(W)는 로드록 챔버(340a)를 통해서 설비 내로 유입된다. 트랜스퍼 챔버(320) 내 이송로봇(322)에 의해 웨이퍼(W)는 제 1플라즈마 처리 장치(360a), 제 2플라즈마 처리 장치(360b), 애싱 장치(380), 그리고 웨트 스트립 장치(390)를 순차적으로 이동하고 공정이 완료되면 로드록 챔버(340b)를 통해 설비 외부로 유출된다.
컨택홀을 형성하고자 하는 막이 단일막(예컨대, 산화막)인 경우, 초기에는 웨이퍼(W)를 제 1 플라즈마 처리 장치(320a)로 이송한다. 제 1 플라즈마 처리 장치(360a)에서 웨이퍼(W)는 식각률이 높은 유도 결합형 플라즈마를 플라즈마 소스로 하여 식각된다. 일정시간이 경과하면 웨이퍼(W)를 제 2 플라즈마 처리 장치(360b)로 이송한다. 제 2 플라즈마 처리 장치(360b)에서 웨이퍼(W)는 식각률이 낮은 축전 결합형 플라즈마를 플라즈마 소스로 하여 식각된다. 컨택홀을 형성하고자 하는 막이 복수의 막들인 경우에도 상술한 바와 동일하게 적용될 수 있다.
선택적으로 복수의 막들에 컨택홀을 형성하고자 하는 경우, 식각되는 막의 종류에 따라 상이한 플라즈마 처리 장치에서 식각공정이 수행될 수 있다. 예컨대, 식각하고자 하는 막이 폴리막과 산화막인 경우, 제 1 플라즈마 처리 장치(360a)에서 폴리막의 식각이 이루어지고, 이후 제 2 플라즈마 처리 장치(360b)에서 산화막의 식각이 이루어질 수 있다.
상술한 도 9의 실시예에서는 2개의 플라즈마 처리 장치가 제공된 경우를 예 로 들어 설명하였다. 그러나 이와 달리 플라즈마 처리 장치는 3개 이상이 제공될 수 있으며, 플라즈마 소스 또한 상술한 예와 달리 다양하게 변화될 수 있다.
본 발명에 의하면, 하나의 플라즈마 처리 장치에 서로 상이한 종류의 플라즈마 소스들이 제공되어 있으므로, 플라즈마 처리 장치를 다양한 공정에 사용할 수 있다.
또한, 본 발명에 의하면, 플라즈마 소스를 변화하면서 공정 수행이 가능하므로 공정 효율을 더욱 향상할 수 있다.

Claims (21)

  1. 기판을 지지하는 지지부재 및 공정가스를 공급하는 가스 공급 부재를 가지는 공정 챔버와;
    상기 공정가스로부터 플라즈마를 생성하는 플라즈마 소스가 서로 상이한 종류로 복수 개 제공되는 플라즈마 발생부재를 구비하는 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1항에 있어서,
    상기 플라즈마 발생부재는 공정 진행 중 상기 플라즈마 소스들 중 선택된 플라즈마 소스만이 사용되도록 상기 플라즈마 소스들을 제어하는 제어기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 1항에 있어서,
    상기 플라즈마 발생부재는 공정 진행 중 사용되는 플라즈마 소스가 변화되도록 상기 플라즈마 소스들을 제어하는 제어기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 1항에 있어서,
    상기 플라즈마 소스들은 축전 결합형 플라즈마(Capacitively Coupled Plasma, CCP), 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP), 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE), 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE), 전자 공명 플라즈마(Electron Cyclotron Resonance, ECR), 플라즈마 타입(Plasma type), 리모트 플라즈마(Remote Plasma) 중 선택되는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 1항에 있어서,
    상기 플라즈마 소스들은 유도 결합형 플라즈마와 축전 결합형 플라즈마 타입인 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 1항에 있어서,
    상기 플라즈마 처리 장치는 식각 공정을 수행하는 장치인 것을 특징으로 하는 플라즈마 처리 장치.
  7. 반도체 제조 설비에 있어서,
    이송로봇이 제공된 트랜스퍼 챔버와;
    상기 트랜스퍼 챔버의 둘레에 배치된 플라즈마 처리 장치들을 포함하되,
    각각의 상기 플라즈마 처리 장치에는 하나의 플라즈마 소스가 제공되고, 상기 플라즈마 처리 장치들 간에 제공된 플라즈마 소스는 서로 상이한 것을 특징으로 하는 반도체 제조 설비.
  8. 제 7항에 있어서,
    상기 반도체 제조 설비는,
    상기 트랜스퍼 챔버 둘레에 배치되며 애싱 공정을 수행하는 장치와;
    상기 트랜스퍼 챔버 둘레에 배치되며 웨트 스트립 공정을 수행하는 장치를 더 포함하는 것을 특징으로 하는 반도체 제조 설비.
  9. 플라즈마 처리 방법에 있어서,
    하나의 공정 챔버에 서로 상이한 종류의 복수의 플라즈마 소스들을 제공하고, 공정 진행시 사용되는 플라즈마 소스를 변화시켜 공정을 진행하는 것을 특징으로 하는 플라즈마 처리 방법.
  10. 제 9항에 있어서,
    상기 플라즈마 소스들은 축전 결합형 플라즈마(Capacitively Coupled Plasma, CCP), 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP), 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE), 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE), 전자 공명 플라즈마(Electron Cyclotron Resonance, ECR), 플라즈마 타입(Plasma type), 리모트 플라즈마(Remote Plasma) 중 선택되는 것을 특징으로 하는 플라즈마 처리 방법.
  11. 제 9항에 있어서,
    상기 공정은 식각 공정인 것을 특징으로 하는 플라즈마 처리 방법.
  12. 제 11항에 있어서,
    공정 진행시 후기에 사용되는 플라즈마 소스는 초기에 사용되는 플라즈마 소스보다 식각률이 낮은 종류인 것을 특징으로 하는 플라즈마 처리 방법.
  13. 제 11항에 있어서,
    상기 공정에 사용되는 플라즈마 소스들은 축전 결합형 플라즈마와 유도 결합형 플라즈마인 것을 특징으로 하는 플라즈마 처리 방법.
  14. 제 13항에 있어서,
    식각 공정 진행시 초기에는 유도 결합형 플라즈마를 사용하여 공정을 진행하고, 후기에는 축전 결합형 플라즈마를 사용하여 공정을 진행하는 것을 특징으로 하는 플라즈마 처리 방법.
  15. 제 11항에 있어서,
    식각되는 막은 복수의 막들이고, 식각되는 막이 변화될 때 사용되는 상기 플라즈마 소스를 변화하는 것을 특징으로 하는 플라즈마 처리 방법.
  16. 기판 상에 형성된 단일막을 식각하는 공정 진행시 사용되는 플라즈마 소스의 종류를 변화하면서 식각 공정을 수행하는 것을 특징으로 하는 플라즈마 처리 방법.
  17. 제 16항에 있어서,
    상기 공정은 서로 상이한 종류의 플라즈마 소스들이 복수개 제공된 하나의 공정 챔버 내에서 이루어지는 것을 특징으로 하는 플라즈마 처리 방법.
  18. 제 16항에 있어서,
    상기 공정은,
    서로 인접하여 배치되며 각각에는 하나의 플라즈마 소스가 제공되고 서로 간에는 상이한 종류의 플라즈마 소스가 제공된 복수의 플라즈마 처리 장치들 간에 기판이 이동하면서 이루어지는 것을 특징으로 하는 플라즈마 처리 방법.
  19. 제 17항에 있어서,
    후기에 사용되는 플라즈마 소스는 초기에 사용되는 플라즈마 소스보다 식각률이 낮은 종류인 것을 특징으로 하는 플라즈마 처리 방법.
  20. 제 16항에 있어서,
    공정 진행시 사용되는 플라즈마 소스는 축전 결합형 플라즈마와 유도 결합형 플라즈마이며,
    초기에는 유도 결합형 플라즈마를 사용하여 식각 공정을 수행하고, 후기에는 축전 결합형 플라즈마를 사용하여 식각 공정을 수행하는 것을 특징으로 하는 플라즈마 처리 방법.
  21. 제 17항에 있어서,
    상기 플라즈마 소스들은 축전 결합형 플라즈마(Capacitively Coupled Plasma, CCP), 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP), 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE), 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE) 소스, 전자 공명 플라즈마(Electron Cyclotron Resonance, ECR), 플라즈마 타입(Plasma type), 리모트 플라즈마(Remote Plasma) 중 선택되는 것을 특징으로 하는 플라즈마 처리 방법.
KR1020050093016A 2005-10-04 2005-10-04 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비 KR100655445B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020050093016A KR100655445B1 (ko) 2005-10-04 2005-10-04 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
US11/513,154 US20070074814A1 (en) 2005-10-04 2006-08-31 Apparatus and method for treating a substrate with plasma, and facility for manufacturing semiconductor devices
CNA2006101412945A CN1945793A (zh) 2005-10-04 2006-09-29 使用等离子体处理衬底的装置和方法,以及制造半导体器件的设备
JP2006272133A JP2007103944A (ja) 2005-10-04 2006-10-03 プラズマ処理装置及び方法、並びに半導体製造設備

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050093016A KR100655445B1 (ko) 2005-10-04 2005-10-04 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비

Publications (1)

Publication Number Publication Date
KR100655445B1 true KR100655445B1 (ko) 2006-12-08

Family

ID=37732606

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050093016A KR100655445B1 (ko) 2005-10-04 2005-10-04 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비

Country Status (4)

Country Link
US (1) US20070074814A1 (ko)
JP (1) JP2007103944A (ko)
KR (1) KR100655445B1 (ko)
CN (1) CN1945793A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100856550B1 (ko) 2007-04-10 2008-09-04 (주)아이씨디 박막트랜지스터 어레이 제조 시스템
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
US8753474B2 (en) 2009-09-25 2014-06-17 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
KR20150137228A (ko) * 2014-05-28 2015-12-09 세메스 주식회사 기판 처리 장치 그리고 기판 처리 방법
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100845285B1 (ko) * 2006-09-08 2008-07-09 삼성전자주식회사 플라즈마 생성장치 및 생성방법
JP5490585B2 (ja) * 2009-05-29 2014-05-14 株式会社日立国際電気 基板処理装置、基板処理方法および半導体装置の製造方法
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
WO2021108297A1 (en) 2019-11-27 2021-06-03 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
KR20220103781A (ko) 2019-11-27 2022-07-22 어플라이드 머티어리얼스, 인코포레이티드 다수의 플라즈마 유닛들을 갖는 프로세싱 챔버

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004071774A (ja) 2002-08-05 2004-03-04 Tokyo Electron Ltd マルチチャンバシステムを用いたプラズマ処理方法
KR20050046363A (ko) * 2003-11-14 2005-05-18 (주)넥소 플라즈마 에칭 장치

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6321134B1 (en) * 1997-07-29 2001-11-20 Silicon Genesis Corporation Clustertool system software using plasma immersion ion implantation
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004071774A (ja) 2002-08-05 2004-03-04 Tokyo Electron Ltd マルチチャンバシステムを用いたプラズマ処理方法
KR20050046363A (ko) * 2003-11-14 2005-05-18 (주)넥소 플라즈마 에칭 장치

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100856550B1 (ko) 2007-04-10 2008-09-04 (주)아이씨디 박막트랜지스터 어레이 제조 시스템
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
WO2009117565A3 (en) * 2008-03-21 2009-11-12 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
US8753474B2 (en) 2009-09-25 2014-06-17 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US9070633B2 (en) 2009-09-25 2015-06-30 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
KR20150137228A (ko) * 2014-05-28 2015-12-09 세메스 주식회사 기판 처리 장치 그리고 기판 처리 방법
KR102275078B1 (ko) * 2014-05-28 2021-07-12 세메스 주식회사 기판 처리 장치 그리고 기판 처리 방법

Also Published As

Publication number Publication date
US20070074814A1 (en) 2007-04-05
CN1945793A (zh) 2007-04-11
JP2007103944A (ja) 2007-04-19

Similar Documents

Publication Publication Date Title
KR100655445B1 (ko) 플라즈마 처리 장치 및 방법, 그리고 반도체 제조 설비
US10580657B2 (en) Device fabrication via pulsed plasma
US10276398B2 (en) High aspect ratio selective lateral etch using cyclic passivation and etching
TWI647757B (zh) 具有離子加速器之雙腔室電漿蝕刻器
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
JP4388020B2 (ja) 半導体プラズマ処理装置及び方法
KR20190026589A (ko) 에칭 방법
KR20210042939A (ko) 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
US11075057B2 (en) Device for treating an object with plasma
US10727075B2 (en) Uniform EUV photoresist patterning utilizing pulsed plasma process
KR20100012436A (ko) 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
TW202004896A (zh) 被加工物之處理方法
KR102363778B1 (ko) 에칭 방법
JP2012142495A (ja) プラズマエッチング方法及びプラズマエッチング装置
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
JP2024037895A (ja) 保護コーティングを有するプロセスチャンバプロセスキット
CN112771650A (zh) 间隔物刻蚀工艺
KR20080061103A (ko) 가스 공급 장치 및 이를 갖는 막 형성 장치
KR102637440B1 (ko) 피가공물을 처리하는 방법
TW201736642A (zh) 用於蝕刻硬體之基於氫電漿清洗處理
US11328909B2 (en) Chamber conditioning and removal processes
US20240014039A1 (en) Carbon hardmask opening using boron nitride mask
US20230245895A1 (en) Sidewall passivation for plasma etching
JP2009158854A (ja) プラズマ処理装置及びプラズマ処理方法
KR100273217B1 (ko) 반도체웨이퍼건식각장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee