WO2009117565A3 - Method and apparatus of a substrate etching system and process - Google Patents

Method and apparatus of a substrate etching system and process Download PDF

Info

Publication number
WO2009117565A3
WO2009117565A3 PCT/US2009/037647 US2009037647W WO2009117565A3 WO 2009117565 A3 WO2009117565 A3 WO 2009117565A3 US 2009037647 W US2009037647 W US 2009037647W WO 2009117565 A3 WO2009117565 A3 WO 2009117565A3
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate during
chamber
etching
etch process
Prior art date
Application number
PCT/US2009/037647
Other languages
French (fr)
Other versions
WO2009117565A2 (en
Inventor
Sharma V. Pamarthy
Jon C. Farr
Khalid Sirajuddin
Ezra R. Gold
James P. Cruse
Scott Olszewski
Roy C. Nangoy
Saravjeet Singh
Douglas A. Buchberger
Jared A. Lee
Chunlei Zhang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN2009801103642A priority Critical patent/CN101978479A/en
Priority to JP2011500951A priority patent/JP5608157B2/en
Publication of WO2009117565A2 publication Critical patent/WO2009117565A2/en
Publication of WO2009117565A3 publication Critical patent/WO2009117565A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87169Supply and exhaust

Abstract

Embodiments of the invention relate to a substrate etching system and process. In one embodiment, a method may include depositing material on the substrate during a deposition process, etching a first layer of the substrate during a first etch process, and etching a second layer of the substrate during a second etch process, wherein a first bias power is applied to the substrate during the first process, and wherein a second bias power is applied to the substrate during the second etch process. In another embodiment, a system may include a gas delivery system containing a first gas panel for supplying a first gas to a chamber, a second gas panel for supplying a second gas to the chamber, and a plurality of flow controllers for directing the gases to the chamber to facilitate rapid gas transitioning between the gases to and from the chamber and the panels.
PCT/US2009/037647 2008-03-21 2009-03-19 Method and apparatus of a substrate etching system and process WO2009117565A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2009801103642A CN101978479A (en) 2008-03-21 2009-03-19 Method and apparatus of a substrate etching system and process
JP2011500951A JP5608157B2 (en) 2008-03-21 2009-03-19 Substrate etching system and process method and apparatus

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US3866408P 2008-03-21 2008-03-21
US61/038,664 2008-03-21
US4057008P 2008-03-28 2008-03-28
US61/040,570 2008-03-28
US9482008P 2008-09-05 2008-09-05
US61/094,820 2008-09-05

Publications (2)

Publication Number Publication Date
WO2009117565A2 WO2009117565A2 (en) 2009-09-24
WO2009117565A3 true WO2009117565A3 (en) 2009-11-12

Family

ID=41091536

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/037647 WO2009117565A2 (en) 2008-03-21 2009-03-19 Method and apparatus of a substrate etching system and process

Country Status (6)

Country Link
US (1) US20090272717A1 (en)
JP (1) JP5608157B2 (en)
KR (1) KR20100128333A (en)
CN (2) CN102446739B (en)
TW (1) TWI538045B (en)
WO (1) WO2009117565A2 (en)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101386552B1 (en) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 Device and method for plasma treatment, and device and method for plasma etching processing
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
WO2013002885A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US9023227B2 (en) 2011-06-30 2015-05-05 Applied Materials, Inc. Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
CN103159163B (en) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate lithographic method and substrate processing equipment
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9887095B2 (en) 2013-03-12 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an etch process with silicon concentration control
US9488315B2 (en) * 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
JP6101227B2 (en) * 2014-03-17 2017-03-22 株式会社東芝 Plasma dicing method and plasma dicing apparatus
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
TW201634738A (en) * 2015-01-22 2016-10-01 應用材料股份有限公司 Improved injector for spatially separated atomic layer deposition chamber
TWI701357B (en) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 Pulsed plasma for film deposition
JP6444794B2 (en) * 2015-03-30 2018-12-26 Sppテクノロジーズ株式会社 Semiconductor device manufacturing method and plasma etching apparatus used for manufacturing the same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6378234B2 (en) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP6541596B2 (en) * 2016-03-22 2019-07-10 東京エレクトロン株式会社 Plasma treatment method
JP6392266B2 (en) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
JP7401458B2 (en) * 2018-05-22 2023-12-19 イーティーエックス コーポレーション Method and apparatus for transferring two-dimensional materials
JP7218226B2 (en) * 2019-03-22 2023-02-06 株式会社アルバック Plasma etching method
US20210118734A1 (en) * 2019-10-22 2021-04-22 Semiconductor Components Industries, Llc Plasma-singulated, contaminant-reduced semiconductor die
US11342195B1 (en) 2021-02-04 2022-05-24 Tokyo Electron Limited Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040045669A1 (en) * 2002-02-06 2004-03-11 Tomohiro Okumura Plasma processing method and apparatus
US20060118518A1 (en) * 2003-08-22 2006-06-08 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
KR100655445B1 (en) * 2005-10-04 2006-12-08 삼성전자주식회사 Apparatus and method for treating plasma, and facility for manufacturing semiconductor devices

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62143427A (en) * 1985-12-18 1987-06-26 Hitachi Ltd Processing gas feeder
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6409933B1 (en) * 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) * 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
FR2834382B1 (en) * 2002-01-03 2005-03-18 Cit Alcatel METHOD AND DEVICE FOR ANISOTROPIC SILICON ETCHING WITH HIGH ASPECT FACTOR
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6849554B2 (en) * 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
FR2842387B1 (en) * 2002-07-11 2005-07-08 Cit Alcatel HEATING SHIELD FOR PLASMA ENGRAVING REACTOR, ETCHING METHOD FOR ITS IMPLEMENTATION
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040157457A1 (en) * 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
KR100549204B1 (en) * 2003-10-14 2006-02-02 주식회사 리드시스템 Method for anisotropically etching silicon
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
JP4593402B2 (en) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ Etching method and etching apparatus
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2008205436A (en) * 2007-01-26 2008-09-04 Toshiba Corp Method of manufacturing fine structure
JP2009182059A (en) * 2008-01-29 2009-08-13 Toshiba Corp Dry etching method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040045669A1 (en) * 2002-02-06 2004-03-11 Tomohiro Okumura Plasma processing method and apparatus
US20060118518A1 (en) * 2003-08-22 2006-06-08 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
KR100655445B1 (en) * 2005-10-04 2006-12-08 삼성전자주식회사 Apparatus and method for treating plasma, and facility for manufacturing semiconductor devices

Also Published As

Publication number Publication date
CN101978479A (en) 2011-02-16
TW201005822A (en) 2010-02-01
WO2009117565A2 (en) 2009-09-24
CN102446739A (en) 2012-05-09
CN102446739B (en) 2016-01-20
JP5608157B2 (en) 2014-10-15
KR20100128333A (en) 2010-12-07
JP2011515855A (en) 2011-05-19
US20090272717A1 (en) 2009-11-05
TWI538045B (en) 2016-06-11

Similar Documents

Publication Publication Date Title
WO2009117565A3 (en) Method and apparatus of a substrate etching system and process
WO2008024566A3 (en) Overall defect reduction for pecvd films
JP2011515855A5 (en)
CN104674191B (en) Multi-mode thin film deposition apparatus and thin film deposition method
WO2012145492A3 (en) Apparatus for deposition of materials on a substrate
WO2007140425A3 (en) Process chamber for dielectric gapfill
WO2005087974A3 (en) Cvd processes for the deposition of amorphous carbon films
WO2010088267A3 (en) Method and apparatus for etching
WO2010120411A3 (en) Pulsed plasma deposition for forming microcrystalline silicon layer for solar applications
TW200741823A (en) Semiconductor device manufacturing method and substrate processing apparatus
WO2008127220A3 (en) Methods for in-situ generation of reactive etch and growth specie in film formation processes
WO2011028349A3 (en) Remote hydrogen plasma source of silicon containing film deposition
WO2011100109A3 (en) Gas distribution showerhead with coating material for semiconductor processing
WO2011087698A3 (en) Pecvd multi-step processing with continuous plasma
WO2011008925A3 (en) Methods for forming dielectric layers
GB201121034D0 (en) Apparatus and method for depositing a layer onto a substrate
WO2011029096A3 (en) Plasma enhanced chemical vapor deposition apparatus
WO2011137059A3 (en) Amorphous carbon deposition method for improved stack defectivity
WO2008146575A1 (en) Compound-type thin film, method for compound-type thin film formation, and electronic apparatus using the thin film
WO2007120776A3 (en) Plasma deposition apparatus and method for making solar cells
WO2007048963A3 (en) Substrate processing method
WO2013016191A3 (en) Methods and apparatus for the deposition of materials on a substrate
WO2011156657A3 (en) High productivity thin film deposition method and system
WO2010114274A3 (en) Apparatus for depositing film and method for depositing film and system for depositing film
WO2005104186A3 (en) Method and processing system for plasma-enhanced cleaning of system components

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980110364.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09722455

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2011500951

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20107023432

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09722455

Country of ref document: EP

Kind code of ref document: A2