JP2011515855A5 - - Google Patents

Download PDF

Info

Publication number
JP2011515855A5
JP2011515855A5 JP2011500951A JP2011500951A JP2011515855A5 JP 2011515855 A5 JP2011515855 A5 JP 2011515855A5 JP 2011500951 A JP2011500951 A JP 2011500951A JP 2011500951 A JP2011500951 A JP 2011500951A JP 2011515855 A5 JP2011515855 A5 JP 2011515855A5
Authority
JP
Japan
Prior art keywords
gas
chamber
bias power
etching
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011500951A
Other languages
Japanese (ja)
Other versions
JP2011515855A (en
JP5608157B2 (en
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2009/037647 external-priority patent/WO2009117565A2/en
Publication of JP2011515855A publication Critical patent/JP2011515855A/en
Publication of JP2011515855A5 publication Critical patent/JP2011515855A5/ja
Application granted granted Critical
Publication of JP5608157B2 publication Critical patent/JP5608157B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (14)

(A)保護層を、エッチングリアクタ内の基板上に配置された第1層上に堆積し、
(B)この保護層をエッチングリアクタ内でエッチングし、保護層のエッチング中、第1バイアス電力が印加され、
(C)第1層をエッチングリアクタ内でエッチングし、第1層のエッチング中、第2バイアス電力が印加され、第1バイアス電力が第2バイアス電力より大きく、
(A)、(B)及び(C)を繰り返すことによって基板にプロファイルを形成することを含む、チャンバ内で基板をエッチングする方法。
(A) depositing a protective layer on the first layer disposed on the substrate in the etching reactor;
(B) This protective layer is etched in an etching reactor, and during the etching of the protective layer, a first bias power is applied,
(C) etching the first layer in an etching reactor, during the etching of the first layer, a second bias power is applied, the first bias power is greater than the second bias power;
A method of etching a substrate in a chamber comprising forming a profile in the substrate by repeating (A), (B) and (C).
第1バイアス電力が約2MHz〜約13.56MHzの高周波範囲を含み、第2バイアス電力が約100kHz〜約400kHzの高周波範囲を含む請求項1記載の方法。   The method of claim 1, wherein the first bias power includes a high frequency range of about 2 MHz to about 13.56 MHz, and the second bias power includes a high frequency range of about 100 kHz to about 400 kHz. 第1バイアス電力及び第2バイアス電力の少なくとも一方を約2%〜約40%のデューティサイクル範囲内でパルス化することを更に含む請求項1記載の方法。   The method of claim 1, further comprising pulsing at least one of the first bias power and the second bias power within a duty cycle range of about 2% to about 40%. 保護層が高分子膜であり、第1層が金属及びシリコンの少なくとも一方を含む請求項1記載の方法。   The method according to claim 1, wherein the protective layer is a polymer film, and the first layer includes at least one of metal and silicon. 堆積プロセス中に基板上に高分子膜を堆積し、
基板上に堆積されたこの高分子膜を第1エッチングプロセス中にエッチングし、
第2エッチングプロセス中に基板をエッチングすることによって基板にプロファイルを形成することを含み、
第1バイアス電力が第1プロセス中に基板に印加され、第2バイアス電力が第2エッチングプロセス中に基板に印加されるチャンバ内で基板をエッチングする方法。
Depositing a polymer film on the substrate during the deposition process,
Etching the polymer film deposited on the substrate during the first etching process;
Forming a profile in the substrate by etching the substrate during the second etching process;
A method of etching a substrate in a chamber in which a first bias power is applied to the substrate during a first process and a second bias power is applied to the substrate during a second etching process.
基板が、シリコン、酸化物及び金属の少なくとも1つを含む複数の層を備える請求項記載の方法。 The method of claim 5 , wherein the substrate comprises a plurality of layers comprising at least one of silicon, oxide and metal. 第1バイアス電力が第2バイアス電力より大きい請求項記載の方法。 The method of claim 5 , wherein the first bias power is greater than the second bias power. 第1バイアス電力及び第2バイアス電力の少なくとも一方をパルス化することを更に含む請求項記載の方法。 6. The method of claim 5 , further comprising pulsing at least one of the first bias power and the second bias power. 基板を処理するためのチャンバと、
複数の第1フローコントローラを含む第1ガス送出ラインによってチャンバと連通している第1ガスパネルと、
複数の第2フローコントローラを含む第2ガス送出ラインによってチャンバと連通している第2ガスパネルとを備え、
複数の第1及び第2フローコントローラは、第1及び第2パネルからのガスをそれぞれチャンバ並びに第1及び第2ガス送出ラインと連通した1つ以上の排出部に選択的に方向付けすることができるガス送出システム。
A chamber for processing a substrate;
A first gas panel in communication with the chamber by a first gas delivery line including a plurality of first flow controllers;
A second gas panel in communication with the chamber by a second gas delivery line including a plurality of second flow controllers;
The plurality of first and second flow controllers can selectively direct gas from the first and second panels to one or more exhausts in communication with the chamber and the first and second gas delivery lines, respectively. Possible gas delivery system.
第1ガスをチャンバへと第1ガスパネルから第1ガス送出ラインを通して供給し、
第1ガスをチャンバに供給しながら第2ガスを排出部に第2ガスパネルから第2ガス送出ラインを通して方向付けし、
第1ガスを排出部へと方向付けし、第2ガスをチャンバに供給することを含み、
第2ガスをチャンバに導入するに先立って、第1ガスはチャンバから除去されるガスをチャンバに供給する方法。
Supplying a first gas into the chamber from a first gas panel through a first gas delivery line;
Directing the second gas through the second gas delivery line from the second gas panel to the discharge section while supplying the first gas to the chamber;
Directing a first gas to an exhaust and supplying a second gas to the chamber;
Prior to introducing the second gas into the chamber, the first gas is supplied to the chamber with the gas removed from the chamber.
第1ガスパネルからの第1ガスをチャンバに方向付けするための第1ガス送出ラインと連通している第1フローコントローラを更に備える請求項10記載の方法。 The method of claim 10 , further comprising a first flow controller in communication with a first gas delivery line for directing a first gas from the first gas panel to the chamber. 第1フローコントローラを作動させて第1ガスパネルからの第1ガスを排出部に方向付けすることを更に含む請求項11記載の方法。 The method of claim 11 , further comprising actuating the first flow controller to direct the first gas from the first gas panel to the outlet. 第2ガスパネルからの第2ガスを排出部に方向付けするための第2ガス送出ラインと連通している第2フローコントローラを更に備える請求項10記載の方法。 The method of claim 10 , further comprising a second flow controller in communication with a second gas delivery line for directing second gas from the second gas panel to the discharge. 第2フローコントローラを作動させて第2ガスパネルからの第2ガスをチャンバに方向付けすることを更に含む請求項13記載の方法。 14. The method of claim 13 , further comprising actuating the second flow controller to direct the second gas from the second gas panel to the chamber.
JP2011500951A 2008-03-21 2009-03-19 Substrate etching system and process method and apparatus Active JP5608157B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US3866408P 2008-03-21 2008-03-21
US61/038,664 2008-03-21
US4057008P 2008-03-28 2008-03-28
US61/040,570 2008-03-28
US9482008P 2008-09-05 2008-09-05
US61/094,820 2008-09-05
PCT/US2009/037647 WO2009117565A2 (en) 2008-03-21 2009-03-19 Method and apparatus of a substrate etching system and process

Publications (3)

Publication Number Publication Date
JP2011515855A JP2011515855A (en) 2011-05-19
JP2011515855A5 true JP2011515855A5 (en) 2012-05-10
JP5608157B2 JP5608157B2 (en) 2014-10-15

Family

ID=41091536

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011500951A Active JP5608157B2 (en) 2008-03-21 2009-03-19 Substrate etching system and process method and apparatus

Country Status (6)

Country Link
US (1) US20090272717A1 (en)
JP (1) JP5608157B2 (en)
KR (1) KR20100128333A (en)
CN (2) CN102446739B (en)
TW (1) TWI538045B (en)
WO (1) WO2009117565A2 (en)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101386552B1 (en) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 Device and method for plasma treatment, and device and method for plasma etching processing
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
US9023227B2 (en) 2011-06-30 2015-05-05 Applied Materials, Inc. Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
CN103159163B (en) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate lithographic method and substrate processing equipment
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9887095B2 (en) 2013-03-12 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an etch process with silicon concentration control
US9488315B2 (en) * 2013-03-15 2016-11-08 Applied Materials, Inc. Gas distribution apparatus for directional and proportional delivery of process gas to a process chamber
JP6101227B2 (en) * 2014-03-17 2017-03-22 株式会社東芝 Plasma dicing method and plasma dicing apparatus
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
TW201634738A (en) * 2015-01-22 2016-10-01 應用材料股份有限公司 Improved injector for spatially separated atomic layer deposition chamber
TWI701357B (en) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 Pulsed plasma for film deposition
JP6444794B2 (en) * 2015-03-30 2018-12-26 Sppテクノロジーズ株式会社 Semiconductor device manufacturing method and plasma etching apparatus used for manufacturing the same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6378234B2 (en) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP6541596B2 (en) * 2016-03-22 2019-07-10 東京エレクトロン株式会社 Plasma treatment method
JP6392266B2 (en) * 2016-03-22 2018-09-19 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10995409B2 (en) * 2018-05-22 2021-05-04 Etx Corporation Method and apparatus for transfer of two-dimensional materials
JP7218226B2 (en) * 2019-03-22 2023-02-06 株式会社アルバック Plasma etching method
US20210118734A1 (en) * 2019-10-22 2021-04-22 Semiconductor Components Industries, Llc Plasma-singulated, contaminant-reduced semiconductor die
US11342195B1 (en) 2021-02-04 2022-05-24 Tokyo Electron Limited Methods for anisotropic etch of silicon-based materials with selectivity to organic materials
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62143427A (en) * 1985-12-18 1987-06-26 Hitachi Ltd Processing gas feeder
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6409933B1 (en) * 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) * 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) * 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
FR2834382B1 (en) * 2002-01-03 2005-03-18 Cit Alcatel METHOD AND DEVICE FOR ANISOTROPIC SILICON ETCHING WITH HIGH ASPECT FACTOR
TWI241868B (en) * 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US6849554B2 (en) * 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
FR2842387B1 (en) * 2002-07-11 2005-07-08 Cit Alcatel HEATING SHIELD FOR PLASMA ENGRAVING REACTOR, ETCHING METHOD FOR ITS IMPLEMENTATION
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6900133B2 (en) * 2002-09-18 2005-05-31 Applied Materials, Inc Method of etching variable depth features in a crystalline substrate
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US20040157457A1 (en) * 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
KR100549204B1 (en) * 2003-10-14 2006-02-02 주식회사 리드시스템 Method for anisotropically etching silicon
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
JP4593402B2 (en) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ Etching method and etching apparatus
KR100655445B1 (en) * 2005-10-04 2006-12-08 삼성전자주식회사 Apparatus and method for treating plasma, and facility for manufacturing semiconductor devices
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2008205436A (en) * 2007-01-26 2008-09-04 Toshiba Corp Method of manufacturing fine structure
JP2009182059A (en) * 2008-01-29 2009-08-13 Toshiba Corp Dry etching method

Similar Documents

Publication Publication Date Title
JP2011515855A5 (en)
WO2009117565A3 (en) Method and apparatus of a substrate etching system and process
CN102459704B (en) Method and apparatus for etching
US20180308695A1 (en) Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102598660B1 (en) Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
CN104674191B (en) Multi-mode thin film deposition apparatus and thin film deposition method
WO2009085564A4 (en) Etch with high etch rate resist mask
CN103620734B (en) Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
WO2006137541A1 (en) Constitutional member for semiconductor processing apparatus and method for producing same
US20220259725A1 (en) Systems and methods for reducing effluent build-up in a pumping exhaust system
JP2007503720A5 (en)
JP2011108782A5 (en)
JP2010530643A5 (en)
CN102534569A (en) Atmospheric pressure glow plasma enhanced atom layer deposition device
JP2008186864A (en) Cleaning method of gate valve, and substrate treatment system
WO2009122113A3 (en) Method for producing nanostructures on a metal oxide substrate and thin film device
JP2009111350A5 (en)
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
TW201433217A (en) Methods and apparatus for cleaning deposition chambers
JP2020184639A (en) Plasma Enhanced Annealing Chamber for Wafer Gas Discharge
JPH10280151A (en) Cleaning of cvd system
CN102615068B (en) Cleaning method for MOCVD equipment
WO2017122963A3 (en) Method for manufacturing epitaxial wafer
JP4933979B2 (en) Cleaning method for film forming apparatus
JP2011151183A (en) Plasma cvd apparatus and plasma cvd deposition method