KR20210042939A - 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정 - Google Patents

전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정 Download PDF

Info

Publication number
KR20210042939A
KR20210042939A KR1020217006671A KR20217006671A KR20210042939A KR 20210042939 A KR20210042939 A KR 20210042939A KR 1020217006671 A KR1020217006671 A KR 1020217006671A KR 20217006671 A KR20217006671 A KR 20217006671A KR 20210042939 A KR20210042939 A KR 20210042939A
Authority
KR
South Korea
Prior art keywords
electron beam
substrate
plasma
bias
process chamber
Prior art date
Application number
KR1020217006671A
Other languages
English (en)
Inventor
피터 벤체크
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210042939A publication Critical patent/KR20210042939A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • H01L21/3221Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/077Electron guns using discharge in gases or vapours as electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3178Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for applying thin layers on objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Weting (AREA)

Abstract

개시된 실시형태는 플라즈마 에칭 및 증착 공정을 개선하기 위해, 마이크로 전자 소재를 위한 기판에 전자빔을 인가한다. DC(직류) 바이어스, RF(무선 주파수) 플라즈마 소스, 및/또는 다른 전자빔 발생 및 제어 기술을 사용하여, 전자빔이 발생되어 기판 표면으로 지향된다. 특정 실시형태에서, DC 중첩(DCS) 또는 하이브리드 DC-RF 소스와 같은, DC-바이어스 RF 플라즈마 소스를 사용하여, DC-바이어스 전극에 대향하는 표면 상에 제어 가능한 전자빔을 제공한다. 추가적인 특정 실시형태에서, DC-바이어스 전극은 펄싱된다. 또한, 전자빔은 외부 소스 및/또는 비-쌍극성 소스로부터의 전자빔 추출을 통해 발생될 수도 있다. 또한, 개시된 기술은 추가적인 전자빔 소스 및/또는 추가적인 에칭 또는 증착 공정과 함께 사용될 수 있다.

Description

전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
관련 출원
본 출원은 이하의 공동 계류 중인 가출원에 대한 우선권을 주장하며, 이는 그 전체가 본원에 참조로 포함된다: "전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정"이라는 명칭으로 2018년 9월 5일자로 출원된 미국 가특허출원 일련번호 제62/727,132호, 및 "전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정"이라는 명칭으로 2018년 11월 13일자로 출원된 미국 가특허출원 일련번호 제62/760,383호.
본 개시물은 마이크로 전자 소재(microelectronic workpiece)의 제조를 위한 방법에 관한 것으로서, 구체적으로는, 마이크로 전자 소재 상의 재료층을 에칭하기 위한 방법에 관한 것이다.
전형적으로, 마이크로 전자 소재 내의 소자 형성은, 기판 상의 다수의 재료층의 형성, 패터닝(patterning), 및 제거와 관련된 일련의 제조 기술을 포함한다. 현재 및 차세대 반도체 소자의 물리적 및 전기적 사양을 충족시키기 위해, 다양한 패터닝 공정에 대한 구조 무결성을 유지하면서 형상부(feature) 크기를 감소시키기 위한 공정 흐름이 요구되고 있다.
원자층 에칭(ALE) 및 원자층 증착(ALD)은, 플라즈마 공정 동안 마이크로 전자 소재를 위한 기판의 표면에서의 화학적 작용 제어를 필요로 한다. 플라즈마 발생 라디칼이 존재하더라도, 표면 공정은 본질적으로 열적이며, 공간 제어는 미시적으로(예를 들어, 형상부 내, 다이 위 등) 수행되든 거시적으로(예를 들어, 웨이퍼에 걸쳐서, 웨이퍼 에지에서 등) 수행되든 문제가 된다. 예를 들어, 이러한 문제는 준(quasi)-ALE 공정을 사용하는 자기-정렬 접점(SAC) 공정에서 폴리머 성장 동안 발생할 뿐만 아니라, 실리콘 구조물의 ALE 공정에서 측벽의 염소화 동안에도 발생한다. 이러한 문제는 다른 ALE 및 ALD 공정에서 발생할 뿐만 아니라, 마이크로 전자 소재의 제조를 위한 다른 에칭/증착 공정에서도 발생한다.
플라즈마 에칭 및 증착 공정을 개선하기 위해 마이크로 전자 소재를 위한 기판에 전자빔을 인가하는 실시형태가 본원에서 설명된다. DC(직류) 바이어스, RF(무선 주파수) 플라즈마 소스, 및/또는 다른 전자빔 발생 및 제어 기술을 사용하여, 전자빔이 발생되어 기판 표면으로 지향된다. 특정 실시형태에서, DC 중첩(DCS) 또는 하이브리드 DC-RF 소스와 같은, DC-바이어스 RF 플라즈마 소스를 사용하여, DC-바이어스 전극에 대향하는 표면 상에 제어 가능한 전자빔을 제공한다. 추가적인 특정 실시형태에서, DC-바이어스 전극은 펄싱(pulsed)된다. 추가적인 일 실시예에서, 50 내지 100 밀리암페어(mA)의 전자빔 전류를 사용하여, 향상된 생산성을 제공한다. 또한, 제어 가능한 전자빔이 사용되는 공정 챔버는 예를 들어, 300 밀리미터(mm) 챔버일 수 있다. 또한, 전자빔은 외부 소스 및/또는 비-쌍극성(non-ambipolar) 소스로부터의 전자빔 추출을 통해 발생될 수도 있다. 또한, 개시된 기술은 추가적인 전자빔 소스 및/또는 추가적인 에칭 또는 증착 공정과 함께 사용될 수 있다. 또한, 상이한 또는 추가적인 특징, 변형, 및 실시형태가 구현될 수 있으며, 관련 시스템 및 방법도 사용될 수 있다.
일 실시형태에서, 마이크로 전자 소재를 처리하는 방법이 개시되고, 방법은, 전자빔을 발생시키는 단계; 공정 챔버 내의 마이크로 전자 소재를 위한 기판에 전자빔을 전달하는 단계; 및 마이크로 전자 소재의 표면에 대하여, 플라즈마 에칭 공정 또는 플라즈마 증착 공정 중 적어도 하나를 수행하는 단계를 포함한다.
추가적인 실시형태에서, 전달하는 단계는, 기판의 하나 이상의 선택된 영역에 전자빔을 전달하여, 하나 이상의 선택된 영역에 대해서만 전자 자극 화학적 작용(electron stimulated chemistry)이 유도되도록 하는 단계를 포함한다. 추가적인 실시형태에서, 방법은, 기판의 하나 이상의 선택된 영역을 결정하기 위한 하나 이상의 마스크를 사용하는 단계를 더 포함한다. 또 다른 실시형태에서, 전자 자극 화학적 작용은, 에칭 공정, 증착 공정, 또는 패시베이션 공정 중 적어도 하나를 촉진시키거나 억제시킨다.
추가적인 실시형태에서, 전달하는 단계는, 발생시키는 단계 또는 전달하는 단계 중 적어도 하나 동안, 직류(DC) 바이어스를 인가하는 단계를 포함한다. 또한 추가적인 실시형태에서, 방법은, 전달하는 단계 동안, 기판 홀더를 통하여 기판에 무선 주파수(RF) 바이어스를 인가하는 단계를 포함한다. 또 다른 추가적인 실시형태에서, 발생시키는 단계는, 50 내지 100 밀리암페어(mA)의 전자빔을 위한 전류를 발생시키는 단계를 포함한다.
추가적인 실시형태에서, 발생시키는 단계는, 공정 챔버 내에서 수행되는 전자 추출을 통해 전자빔을 발생시키는 단계를 포함한다. 추가적인 실시형태에서, 방법은, 발생시키는 단계 동안, 소스 플라즈마 및 직류(DC) 바이어스를 사용하는 단계를 포함한다. 또한, DC 바이어스는 펄싱될 수 있다. 또 다른 실시형태에서, 방법은, 공정 챔버 내에서 플라즈마를 지속시키기 위해, 공정 챔버의 둘레에 위치된 코일에 전력을 공급하는 단계; 및 DC 바이어스, 코일로의 전력, 및 기판의 무선 주파수(RF) 바이어스를 교번하는 단계를 포함할 수 있다.
추가적인 실시형태에서, 발생시키는 단계는, 공정 챔버의 외부에서 전자 추출을 통해 전자빔을 발생시키는 단계, 및 추출된 전자를 공정 챔버에 전달하는 단계를 포함한다. 추가적인 실시형태에서, 방법은, 발생시키는 단계 동안, 직류(DC) 바이어스를 사용하는 단계를 포함한다. 또 다른 실시형태에서, 방법은, 공정 챔버 내에서 플라즈마를 지속시키기 위해, 공정 챔버의 둘레에 위치된 코일에 전력을 공급하는 단계; 및 DC 바이어스, 코일로의 전력, 및 기판의 무선 주파수(RF) 바이어스를 교번하는 단계를 포함한다.
추가적인 실시형태에서, 전달하는 단계 및 수행하는 단계는, 원자층 증착(ALD) 공정을 위해 사용된다. 추가적인 실시형태에서, 전달하는 단계는 전자빔의 DC-바이어스 전달을 포함하며; 수행하는 단계는 전구체 플라즈마 가스를 점화하는 단계를 포함하고; 전달하는 단계 및 수행하는 단계는 기판 상에 재료층을 증착하기 위해 교번된다.
추가적인 실시형태에서, 전달하는 단계 및 수행하는 단계는, 원자층 에칭(ALE) 공정을 위해 사용된다. 추가적인 실시형태에서, 전달하는 단계는 전자빔의 DC-바이어스 전달을 포함하며; 수행하는 단계는 반응성 이온 에칭(RIE) 또는 무선 주파수(RF) 스퍼터링 중 적어도 하나를 포함하고; 전달하는 단계 및 수행하는 단계는 기판 상에 재료층을 증착하기 위해 교번된다. 또 다른 실시형태에서, 수행하는 단계는, 전구체 플라즈마 가스를 점화하는 단계를 더 포함한다.
추가적인 실시형태에서, 수행하는 단계는, 공정 가스를 공정 챔버에 전달하는 단계; 및 전달된 전자빔을 사용하여, 공정 가스의 화학적 작용에 기초하는 기판의 표면 변형을 자극하는 단계를 포함한다. 추가적인 실시형태에서, 수행하는 단계는 수직 폴리머 성장을 포함한다.
첨부된 도면과 함께 고려되는 이하의 설명을 참조함으로써 본 발명 및 이의 이점을 더 완전히 이해할 수 있으며, 첨부된 도면에서 유사한 참조 번호는 유사한 특징부를 나타낸다. 그러나, 첨부된 도면은 개시된 개념의 예시적인 실시형태만을 도시하므로 범위를 제한하는 것으로 간주되어서는 안되며, 개시된 개념에 대해 동일하게 효과적인 다른 실시형태가 허용될 수 있음을 유의해야 한다.
도 1은 제조되는 마이크로 전자 소재를 위한 기판의 표면 상의 결합부에 대한 예시적인 실시형태의 도면이다.
도 2a는 전자빔 매개 공정 기술을 사용하여, 개선된 선택성 및 이방성이 달성되는 예시적인 실시형태의 도면이다.
도 2b는 처리되는 마이크로 전자 소재를 위한 기판에 전자빔이 전달됨으로써, 플라즈마 에칭/증착 공정을 개선하는 공정 챔버를 포함하는 예시적인 실시형태의 도면이다.
도 3은 공정 챔버 내의 플라즈마 공정을 촉진시키기 위해 전자빔이 사용되는 예시적인 실시형태의 공정 흐름도이다.
도 4a는 공정 단계 동안, 발생되어 마이크로 전자 소재를 위한 기판의 표면에 전달되는 전자빔을 통해 매개되어 개선되는 ALD 공정을 위한 예시적인 실시형태의 도면이다.
도 4b는 공정 단계 동안, 발생되어 마이크로 전자 소재를 위한 기판의 표면에 전달되는 전자빔을 통해 매개되어 개선되는 ALE 공정을 위한 예시적인 실시형태의 도면이다.
도 5a는 공정 챔버 내에서 전자를 추출하는 전자 소스를 사용하여, 전자빔이 발생되는 예시적인 실시형태의 도면이다.
도 5b는 공정 챔버의 외부에서 전자의 추출을 수행하는 외부 전자 소스를 사용하여, 전자빔이 발생되는 예시적인 실시형태의 도면이다.
도 6은 본원에 설명된 실시형태를 위한 공정 챔버로 사용될 수 있는, 플라즈마 공정 장치와 같은 소재 제조 시스템에 대한 예시적인 실시형태의 블록도이다.
본원에 설명된 바와 같이, 플라즈마 에칭 및 증착 공정을 개선하기 위해, 전자빔이 발생되어 제어되고, 마이크로 전자 소재를 위한 기판에 전달된다. 개시된 실시형태는, 이전의 플라즈마 에칭 및 증착 공정에서 겪었던 공간 제어 문제를 해결하기 위해, 복잡성이 감소된 개선된 방법 및 공정 장비 솔루션을 제공한다. 예를 들어, 개시된 실시형태는 ALE 공정, ALD 공정, 하이브리드 ALE/ALD 공정, 및/또는 다른 플라즈마 에칭 및/또는 증착 공정을 개선하기 위해 사용될 수 있다. 또한, 개시된 실시형태는, DCS, 유도성 결합 플라즈마(ICP), 반응성 이온 에칭(RIE), 및/또는 다른 에칭 또는 증착 기능과 같은, 다른 공정 챔버 기능과 함께 사용될 수 있다. 본원에 설명된 공정 기술을 여전히 이용하면서, 다른 이점도 달성될 수 있다.
본원의 실시형태에서 인식되는 바와 같이, 전자빔은 촉진되는 방향을 가지며, 예를 들어, 전기장 및 자기장을 사용하여 전자빔을 제어함으로써, 기판에 전달될 수 있다. 전자빔을 위한 전자는, 예를 들어, 이온(또는 전자)에 의해 충격이 가해진 표면으로부터의 2차 전자 방출을 통해, 외부에서 또는 공정 챔버 내에서 발생될 수 있다. 발생되면, 이러한 전자빔은 플라즈마 에칭 및/또는 플라즈마 증착 공정을 개선하도록 전달된다. 일 실시형태에서, 마이크로 전자 소재가 공정 챔버에 남아 있는 동안, 마이크로 전자 소재의 표면에 전자빔을 전달하기 위해, 전자빔의 원위치(in situ) 전달이 제공될 수 있음을 유의한다.
도 1은 제조되는 마이크로 전자 소재를 위한 기판의 표면(105) 상의 결합부에 대한 예시적인 실시형태(100)의 도면이다. 표면(105) 상에 입사하는 전자(104)는, 결합 파괴를 통해, 또는 수소(H) 원자(106) 또는 다른 종의 자극된 탈착을 통해, 단글링 결합부(dangling bond)(114)를 생성한다. 이러한 지점은 플라즈마 중의 라디칼 또는 중성 종과 급속하게 반응되어, 플라즈마 에칭 및/또는 플라즈마 증착 공정을 촉진시킬 수 있다.
도 1을 보다 상세히 살펴보면, H 원자(106)의 탈착(102)은, 표면(105) 상에 입사하는 전자(104)로 인해 야기된다. 이러한 탈착(102)은 단글링 결합부(114)를 남긴다. 이러한 단글링 결합부(114)는 재흡착 과정(110)에서 H 원자(112)를 재획득하거나/재획득할 수 있고, 반응물 흡착 과정(120)에서 반응물(R)(122)을 획득할 수 있다. 단글링 결합에 의해 흡착된 반응물(R')은, 후속 생성물 생성 과정(130) 동안 반응물 생성물(P)(132)이 생성되는 에칭 및/또는 증착 공정을 촉진시킬 수 있는 반응성 표면(134)을 형성한다. 따라서, 입사 전자(104)에 의해 표면(105) 상에 생성된 단글링 결합부(114)는 다양한 상황을 위해 유용하며, 이러한 단글링 결합부(114)는 에칭 또는 증착 공정의 선택성을 개선시킬 수 있다. 예를 들어, 표면(105)의 H-중단 부분은 플라즈마 에칭 공정에 의해 용이하게 에칭된다. 표면(105)의 R'-중단 부분은 플라즈마 증착 공정 동안 그 위에 용이하게 성장되는 반응성 표면을 제공한다. 또한, 표면(105)의 H-중단 부분은, 에칭 공정 동안 표면(105)의 R'-중단 부분보다 덜 휘발성일 수 있다. 더욱이, 표면(105)의 R'-중단 부분은 전자빔(e-빔)을 사용하여 변경될 수 있으므로, 이는 더 많은 R' 또는 다른 층이 성장될 수 있는 R''가 되도록 자극된다. 또한, 추가적인 및/또는 상이한 공정 및 환경은 본원에 설명된 전자빔 매개 기술을 사용할 수 있다.
도 2a는 본원에 설명된 전자빔 매개 기술을 사용하여, 개선된 선택성 및 이방성이 달성되는 예시적인 실시형태(200)의 도면이다. 일 실시형태에서, 표면은 (예를 들어, 염소, 브롬, 불소, 불화탄소, 수소, 산소, 및/또는 다른 재료를 사용하여) 패시베이션될 수 있다. 그 다음, 예를 들어, 주기적 에칭 공정을 사용하여, 표면의 일부가 제거된다. 도시된 실시형태(200)에서, 패터닝된 구조물 또는 적층물(212)은, 하나 이상의 마스크 및 관련 공정 단계를 사용하여 기판 상에 사전에 형성된다. 마스크(210)의 일부는 보호층으로서 남겨지며, 입사 전자빔(206)은 하나 이상의 전자빔 소스를 사용하여 발생된다. 이러한 입사 전자빔(206)은 예를 들어, 마스크(210) 및/또는 적층물(212)의 표면에 대하여 수직 방향으로 지향될 수 있다. 그 다음, 이러한 전자빔(206)의 수직 입사로 인해, 선택된 영역(202)에서 전자 자극 화학적 작용이 유도된다. 마스크(210)를 위한 표면 영역(205)은 전자빔(206)에 의해 영향을 받지 않거나 최소한으로 영향을 받으며, 하나 이상의 추가적인 에칭 공정에 의해 제거될 수 있다. 마스크(210) 및 적층물(212)의 수직 표면 영역(204)은, 전자빔(206)의 방향으로 인해 전자 자극에 의해 영향을 받지 않거나 최소한으로 영향을 받는다. 기판의 수평 표면 영역(202)은 전자빔(206)으로부터의 전자 자극 화학적 작용에 의해 변형된다. 이러한 방식으로, 전자빔(206)은 기판의 하나 이상의 선택된 영역(202)에 전달되어, 하나 이상의 선택된 영역(202)에 대해 전자 자극 화학적 작용이 유도되도록 한다. 단일 마스크(210)가 도시되지만, 하나 이상의 마스크, 및 마스크 층의 관련 패터닝을 사용하여, 선택된 영역이 결정될 수 있다. 또한, 전자 자극 화학적 작용은, 에칭 공정, 증착 공정, 패시베이션 공정, 및/또는 다른 원하는 공정 중 하나 이상을 촉진시키거나 억제시킨다. 하나의 예시적인 구현예에서, 이러한 기술은, 전자 자극이 발생하는 표면 상에서 수직 선택성을 갖는 폴리머 성장을 촉진시키기 위해 사용될 수 있다. 또한, 예를 들어, e-빔 노출 후에 증착 또는 에천트 전구체 노출(순차적으로 또는 동시에)을 사용하여, 패시베이션이 달성될 수 있음을 유의한다. 본원에 설명된 전자빔 매개 기술을 여전히 이용하면서, 다른 변형예가 구현될 수도 있다.
도 2b는 처리되는 마이크로 전자 소재를 위한 기판(255)에 전자빔(206)이 전달되는 공정 챔버(260)를 포함하는 예시적인 실시형태(250)의 도면이다. 일 실시형태에서, 기판(255)은 기판 홀더(256)에 의해 홀딩된다. 예시적인 일 실시형태에서, 기판 홀더(256)는 정전 척이다. 도시된 바와 같이, 전자빔 소스(252)는 전자빔(206)을 위한 전자를 발생시킨 다음, 전자빔(206)을 기판(255)의 표면에 전달한다. 바람직하게는, 음의 DC 바이어스(262)가 전자빔 소스(252)에 인가되고, 전자빔(206)의 발생, 및/또는 기판(255)으로의 전자빔(206)의 전달을 촉진시킨다. 또한, 공급 가스 및 불활성 가스(254)는, 공정 챔버(260) 내에서 수행되는 하나 이상의 공정에 사용되는 가스 화학 물질을 제공하기 위해 공정 챔버(260)에 전달된다. 예를 들어, 플라즈마 가스 화학 물질은, 플라즈마 에칭 공정 및/또는 플라즈마 증착 공정을 위해 공정 챔버(260)에 전달될 수 있다. 일 실시형태에서, 전자빔(206)이 기판(255)의 표면에 전달되면서, 증착 전구체가 공정 챔버(260) 내로 동시에 분사된다.
플라즈마 공정을 위해, 고주파(HF) 기술, 초단파(VHF) 기술, ICP 기술, 및/또는 다른 플라즈마 발생 기술을 사용하여, 플라즈마가 점화되어 공정 챔버 내에 유지될 수 있음을 유의한다. 또한, RF 플라즈마 소스와 같은 DC-바이어스 또는 펄싱 DC-바이어스 플라즈마 소스가 전자빔 소스(252)로서 사용될 수 있음을 유의한다. 다른 전자 소스도 사용될 수 있다. 일 실시예로서, 700 평방 센티미터(cm2) 표면으로부터 112 mA(밀리암페어)의 전류를 사용하여, 1초 내에 기판 표면의 하나의 단분자층에 충돌하는 전자빔을 발생시킨다. 다른 실시예에서, 약 50 mA의 전류가 사용된다. 또한, 예를 들어, 기판 홀더(256)를 통하여, 무선 주파수(RF) 바이어스(258)가 마이크로 전자 소재를 위한 기판(255)에 인가될 수 있다. 이러한 RF 바이어스(258)는 전형적으로, 마이크로 전자 소재를 위한 기판(255)에서 RF가 오프되는 경우 최대 플럭스가 발생하기 때문에, 플라즈마 공정을 촉진시키거나/촉진시키고, 탄도 전자(ballistic electron)를 억제시키기 위해 사용될 수 있다. 본원에 설명된 전자빔 매개 기술을 여전히 이용하면서, 다른 변형예가 구현될 수도 있다.
도 3은 공정 챔버 내의 플라즈마 공정을 촉진시키기 위해 전자빔이 사용되는 예시적인 실시형태(300)의 공정 흐름도이다. 블록(302)에서, 전자빔이 발생된다. 블록(304)에서, 전자빔은 공정 챔버 내의 마이크로 전자 소재를 위한 기판에 전달된다. 블록(306)에서, 마이크로 전자 소재의 표면에 대하여, 하나 이상의 플라즈마 공정이 수행된다. 플라즈마 공정은 예를 들어, 플라즈마 에칭 공정 또는 플라즈마 증착 공정 중 적어도 하나일 수 있다. 또한, 개시된 기술을 여전히 이용하면서, 추가적인 및/또는 상이한 공정 단계도 사용될 수 있음을 유의한다.
도 4a는 공정 단계 동안, 마이크로 전자 소재를 위한 기판의 표면에 전자빔을 전달하는 전자빔 소스를 통해 매개되어 개선되는 ALD 공정을 위한 예시적인 실시형태(400)의 도면이다. 도시된 예시적인 실시형태(400)에서, 일련의 DC-바이어스 e-빔 공정(DC)(402) 및 전구체 플라즈마 증착 공정(404)이 예시적인 ALD 공정을 위해 사용된다. DC-바이어스 e-빔 공정(402) 동안, DC-바이어스와 함께 전자빔 소스를 사용하여 전자빔을 발생시키고, 공정 챔버 내의 기판의 표면에 전자빔을 전달한다. 전구체 플라즈마 증착 공정(404)은, 전구체 가스 및 플라즈마 공정 단계를 사용하는 하나 이상의 층의 증착을 포함한다. 이러한 예시적인 ALD 공정의 경우, RF 바이어스는 사용되지 않으며, 퍼지 단계가 포함된다. 다른 변형예가 구현될 수도 있다.
도 4b는 공정 단계 동안, 마이크로 전자 소재를 위한 기판의 표면에 전자빔을 전달하는 전자빔 소스를 통해 매개되어 개선되는 ALE 공정을 위한 예시적인 실시형태(450)의 도면이다. 도시된 예시적인 실시형태(450)에서, 일련의 DC-바이어스 e-빔 공정(DC)(452), 전구체 플라즈마 증착 공정(454), 및 플라즈마 에칭 공정(456)이 예시적인 ALE 공정을 위해 사용된다. DC-바이어스 e-빔 공정(452) 동안, DC-바이어스와 함께 전자빔 소스를 사용하여 전자빔을 발생시키고, 공정 챔버 내의 기판의 표면에 전자빔을 전달한다. 전구체 플라즈마 증착 공정(454)은, 전구체 가스 및 플라즈마 공정 단계를 사용하는 하나 이상의 층의 증착을 포함한다. 하나의 예시적인 ALE 공정에서, 에칭 공정(456)을 위해 RF 스퍼터링 및/또는 RIE가 사용되며, 플라즈마 에칭 공정(456)을 위한 선택성을 개선하기 위해, 전구체 증착 공정(454)이 사용된다. 또한, 하나의 예시적인 ALE 공정에서, 에칭 공정(456) 동안 기판으로부터 재료 제거를 촉진시키기 위해, 처리되는 마이크로 전자 소재를 위한 기판에 RF 바이어스가 인가될 수도 있다. 다른 변형예가 구현될 수도 있다.
도 5a는 공정 챔버(260) 내에서 전자를 추출하는 전자 소스(510)를 사용하여, 전자빔(206)이 발생되는 예시적인 실시형태(500)의 도면이다. 예를 들어, 전자빔 소스(252)를 위한 전자는 전자 소스(510)로서 대형 중공 캐소드로부터의 전자 추출을 통해 발생될 수 있으며, 소스 플라즈마(512)는 전자빔(206)을 전달하기 위해 사용될 수 있다. 또한, 전자빔(206)의 발생 및/또는 전달을 촉진시키기 위해, DC 바이어스(262)가 소스 플라즈마(512)에 인가될 수 있다. 예를 들어, 전자를 발생시켜서 전자빔(206)을 기판(255)에 전달하기 위해, 금속 또는 고도로 도핑된 실리콘(Si)에 대하여 DC 또는 펄싱-DC 기술이 사용될 수 있다. 일 실시형태에서, DC-바이어스 또는 펄싱 DC-바이어스 RF 플라즈마 소스가 전자빔(206)을 전달하기 위해 사용된다. 플라즈마 및/또는 다른 공정 가스(254)가 공정 챔버(260)에 전달되며, 기판(255)으로의 전자빔(206)의 전달을 촉진시키기 위해, 소스 플라즈마(512)가 점화될 수 있다. 또한, RF 바이어스(258)가 기판(255)에 인가될 수 있다. 또한, 선택적인 RF 전력이 발생될 수 있으며, 플라즈마 발생의 일부로서 공정 챔버(260)에 인가될 수 있다. 공정 챔버(260)는, 코일(506), 패러데이 차폐물(Faraday shield)(504), 및 석영 라이너(502)를 더 포함할 수 있다. 또한, DC 바이어스(262), RF 바이어스(258), 및 코일(506)은 교번하는 방식으로 작동될 수 있음을 유의한다. 코일(506)은 공정 챔버(260)의 둘레에 위치될 수 있으며, 공정 챔버(260) 내에서 플라즈마를 지속시키는 소스 전력을 발생시키기 위해 사용될 수 있음을 유의한다. 또한, 본원에서 설명된 기술을 여전히 이용하면서 다른 변형예가 구현될 수 있다.
도 5b는 공정 챔버(260)의 외부에서 전자의 추출을 수행하는 외부 전자 소스(552)를 사용하여, 전자빔(206)이 발생되는 예시적인 실시형태(550)의 도면이다. 소스 플라즈마(512)는, 전자빔 소스(252)를 위한 전자빔(206)의 발생 및 전달을 촉진시키기 위해 사용될 수 있다. 또한, 공정 챔버(260) 내에서 빔 추출 플레이트(558)를 사용하여, 외부 전자 소스(552)에 의해 공정 챔버(260) 내에 주입된 전자를 흡착한 다음, 전자빔(206)을 기판(255)에 전달할 수 있다. 플라즈마 및/또는 다른 공정 가스(254)가 공정 챔버(260)에 전달되며, 소스 플라즈마(512)가 공정 챔버(260) 내에서 점화될 수 있다. 또한, RF 바이어스(258)가 기판(255)에 인가될 수 있다. 공정 챔버(260)는, 코일(506) 및 유전체 재료 라이너(554)를 더 포함할 수 있다. 전자 추출 홀 영역(560) 근처의 소스 플라즈마(512)에 DC 바이어스(262)가 인가될 수 있다. 또한, 본원에서 설명된 기술을 여전히 이용하면서 다른 변형예가 구현될 수 있다.
본원에서 설명된 재료층을 형성하기 위해 하나 이상의 증착 공정이 사용될 수 있음을 유의한다. 예를 들어, 하나 이상의 증착은 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 물리 기상 증착(PVD), 원자층 증착(ALD), 및/또는 다른 증착 공정을 사용하여 구현될 수 있다. 플라즈마 증착 공정의 경우, 다양한 압력, 전력, 유량 및 온도 조건에서 하나 이상의 희석 가스(예를 들어, 아르곤, 질소 등)와 조합하여, 탄화수소, 불화탄소, 또는 질소 함유 탄화수소를 포함하지만 이에 제한되지 않는 전구체 가스 혼합물이 사용될 수 있다. PR 층에 대한 리소그래피 공정은 광학 리소그래피, 극자외선(EUV) 리소그래피, 및/또는 다른 리소그래피 공정을 사용하여 구현될 수 있다. 에칭 공정은 플라즈마 에칭 공정, 방전 에칭 공정, 및/또는 다른 원하는 에칭 공정을 사용하여 구현될 수 있다. 예를 들어, 플라즈마 에칭 공정은 불화탄소, 산소, 질소, 수소, 아르곤, 및/또는 다른 가스를 포함하는 플라즈마를 사용하여 구현될 수 있다. 또한, 비아 형성 동안 비아에 대한 CD 목표 파라미터가 달성되도록 보장하기 위해, 공정 단계를 위한 작동 변수가 제어될 수 있다. 작동 변수는 예를 들어, 챔버 온도, 챔버 압력, 가스의 유량, 플라즈마의 발생 시에 전극 조립체에 인가되는 주파수 및/또는 전력, 및/또는 공정 단계를 위한 다른 작동 변수를 포함할 수 있다. 또한, 본원에서 설명된 기술을 여전히 이용하면서 변형예가 구현될 수 있다.
예시적인 플라즈마 에칭 공정 시스템에 대한 일 실시형태(600)가 도 6과 관련하여 설명된다. 그러나, 본원에 설명된 기술은 다양한 에칭 공정 시스템에 사용될 수 있으며, 실시형태(600)는 단지 하나의 예시적인 실시형태임을 유의한다.
도 6은 본원에 설명된 실시형태를 위한 공정 챔버로 사용될 수 있는, 플라즈마 공정 장치와 같은 소재 제조 시스템에 대한 예시적인 실시형태(600)의 블록도이다. 보다 구체적으로, 도 6은 본원에 설명된 공정 기술을 구현하기 위해 사용될 수 있는 단지 예시적인 목적을 위한 플라즈마 공정 장치에 대한 하나의 예시적인 실시형태를 도시한다. 다른 플라즈마 공정 시스템 및 다른 에칭 공정 시스템이 본원에 설명된 기술을 동일하게 구현할 수 있음을 인식할 것이다. 도 6의 예시적인 실시형태(600)에서, 마이크로 전자 소재를 위한 에칭 챔버를 제공하는 공정 공간(PS)을 포함하는 용량성 결합 플라즈마 공정 장치에 대한 개략적인 단면도가 제공된다. 예를 들어, 유도성 결합 플라즈마 공정 장치, 마이크로파 플라즈마 공정 장치 등을 포함하지만 이에 제한되지 않는 대안적인 플라즈마 공정 장치가 사용될 수도 있다. 용량성 결합 플라즈마 공정 장치는, 이러한 장치의 전극 간격이 플라즈마 공간의 국부적인 영역으로의 가스의 유리한 제어를 가능하게 하므로, 기판 상의 국부적인 플라즈마 공정을 제공하기 때문에, 특히 적합할 수 있다.
플라즈마 공정 장치(600)는, 애싱(ashing), 에칭, 증착, 세척, 플라즈마 중합, 플라즈마 강화 화학 기상 증착(PECVD), 원자층 증착(ALD) 등을 포함하는 다수의 작업을 위해 사용될 수 있다. 플라즈마 공정 장치(600)의 구조는 잘 알려져 있으며, 본원에 제공된 특정 구조는 단지 예시적인 것일 뿐이다. 플라즈마 공정은, 알루미늄 또는 스테인리스 강과 같은 금속으로 제조된 진공 챔버일 수 있는 공정 챔버(601) 내에서 수행될 수 있다. 공정 챔버(601)는 플라즈마 발생을 위한 공정 공간(PS)을 제공하는 공정 용기를 한정한다. 공정 용기의 내벽은 알루미나, 이트리아(yttria), 또는 다른 보호제로 코팅될 수 있다. 공정 용기는 원통형 형상일 수 있거나, 다른 기하학적 구성을 가질 수 있다.
공정 챔버(601) 내의 하부 중앙 영역에서, 서셉터(612)(디스크 형상일 수 있음)는 예를 들어, 처리될 기판(602)(예를 들어, 반도체 웨이퍼)이 장착될 수 있는 장착 테이블의 역할을 할 수 있다. 기판(602)은 로딩/언로딩 포트 및 게이트 밸브를 통하여 공정 챔버(601) 내로 이동될 수 있다. 서셉터(612)는, 기판(602)을 그 위에 장착하기 위한 장착 테이블로 작용하는 제2 전극의 일 실시예로서 하부 전극 조립체(620)의 일부를 형성한다. 서셉터(612)는 예를 들어, 알루미늄 합금으로 형성될 수 있다. 서셉터(612)는 기판(602)을 홀딩하기 위한 정전 척(하부 전극 조립체의 일부로서)을 그 위에 구비한다. 정전 척은 전극(635)을 구비한다. 전극(635)은 도시되지 않은 직류(DC) 전원에 전기적으로 연결된다. 정전 척은 DC 전원으로부터의 DC 전압이 전극(635)에 인가되는 경우 발생되는 정전기력을 통해 기판(602)을 이에 끌어당긴다. 서셉터(612)는 정합 장치를 통해 고주파 전원과 전기적으로 연결될 수 있다. 다른 실시형태 및 공정 챔버에서, 둘 이상의 전원이 사용될 수 있으며, 공정 챔버 내의 전극(635) 및/또는 다른 전극에 연결될 수 있다. 이러한 고주파 전원(제2 전원)은 예를 들어, 2 MHz(메가헤르츠) 내지 20 MHz 범위의 고주파 전압을 출력할 수 있다. 고주파 바이어스 전력을 인가함으로써, 공정 챔버(601)에서 발생된 플라즈마 중의 이온이 기판(602)에 끌어당겨지게 한다. 집속 링 조립체(638)는 정전 척을 둘러싸도록 서셉터(612)의 상부 표면 상에 제공된다.
가스 배기 장치에 연결되는 하나 이상의 배기 포트(도시되지 않음)를 통하여 배기 경로(633)가 형성될 수 있다. 가스 배기 장치는, 공정 챔버(601) 내의 플라즈마 공정 공간을 원하는 진공 상태로 펌핑하도록 구성된 터보 분자 펌프와 같은 진공 펌프를 포함할 수 있다. 가스 배기 장치는 공정 챔버(601)의 내부를 배기함으로써, 이의 내부 압력을 원하는 진공도까지 감압한다.
상부 전극 조립체(670)는 제1 전극의 일 실시예이며, 하부 전극 조립체(620)와 평행하게 대향하도록 하부 전극 조립체(620) 위에 수직으로 위치된다. 플라즈마 발생 공간 또는 공정 공간(PS)은, 하부 전극 조립체(620)와 상부 전극 조립체(670) 사이에 한정된다. 상부 전극 조립체(670)는, 디스크 형상을 갖는 내측 상부 전극(671), 및 내측 상부 전극(671)의 주변부를 둘러싸고 환형일 수 있는 외측 상부 전극을 포함한다. 또한, 내측 상부 전극(671)은, 하부 전극 조립체(620) 상에 장착된 기판(602) 위의 공정 공간(PS)으로 특정 양의 공정 가스를 분사하기 위한 공정 가스 흡입구의 기능을 한다. 이에 따라, 상부 전극 조립체(670)는 샤워헤드를 형성한다. 보다 구체적으로, 내측 상부 전극(671)은 가스 분사 개구부(682)를 포함한다.
상부 전극 조립체(670)는, 하나 이상의 완충(buffer) 챔버(들)(689A, 689B, 및 689C)를 포함할 수 있다. 완충 챔버는 공정 가스를 확산시키기 위해 사용되며, 디스크 형상의 공간을 한정할 수 있다. 공정 가스 공급 시스템(680)으로부터의 공정 가스는 상부 전극 조립체(670)에 가스를 공급한다. 공정 가스 공급 시스템(680)은, 막 형성, 에칭 등과 같은 특정 공정을 기판(602) 상에 수행하기 위한 공정 가스를 공급하도록 구성될 수 있다. 공정 가스 공급 시스템(680)은 공정 가스 공급 경로를 형성하는 가스 공급 라인(681A, 681B, 및 681C)에 연결된다. 가스 공급 라인은 내측 상부 전극(671)의 완충 챔버에 연결된다. 그 다음, 공정 가스는 완충 챔버로부터 이의 하부 표면의 가스 분사 개구부(682)로 이동할 수 있다. 완충 챔버(689A-C)로 유입되는 공정 가스의 유량은, 예를 들어, 질량 유량 제어기를 사용함으로써 조정될 수 있다. 또한, 유입된 공정 가스는, 전극 플레이트(샤워헤드 전극)의 가스 분사 개구부(682)로부터 공정 공간(PS)으로 배출된다. 내측 상부 전극(671)은 부분적으로 샤워헤드 전극 조립체를 제공하도록 기능한다.
도 6에 도시된 바와 같이, 에지 완충 챔버(689A), 중간 완충 챔버(689B), 및 중앙 완충 챔버(689C)에 해당하는 3개의 완충 챔버(689A, 689B, 및 689C)가 제공된다. 유사하게, 가스 공급 라인(681A, 681B, 및 681C)은, 에지 가스 공급 라인(681A), 중간 가스 공급 라인(681B), 및 중앙 가스 공급 라인(681C)으로 구성될 수 있다. 완충 챔버는, 기판의 상이한 국부적인 영역(이 경우, 에지, 중간, 및 중앙)에 대응하는 방식으로 제공된다. 아래에 추가로 설명되는 바와 같이, 이러한 영역은, 기판(602)의 국부적인 영역을 위한 특정 공정 플라즈마 공정 조건에 해당할 수 있다. 3개의 국부적인 영역의 사용은 단지 예시적인 것일 뿐임을 인식할 것이다. 따라서, 플라즈마 공정 장치는, 기판의 임의의 수의 영역 상에 국부적인 플라즈마 공정 조건을 제공하도록 구성될 수 있다. 또한, 다양한 구성 중 어느 하나가 사용될 수 있으며, 본원에 설명된 기술은 공정 가스 공급 시스템(680)이 가스 흐름을 다양한 완충 챔버로 분할하도록 구성되는 방식으로 제한되지 않음을 다시 한 번 유의한다.
상부 전극 조립체(670)는 급전선(665) 및 정합 장치(668)를 통해 고주파 전원(도시되지 않음)(제1 고주파 전원)과 전기적으로 연결된다. 고주파 전원은, 40 MHz(메가헤르츠) 이상의 주파수(예를 들어, 60 MHz)를 갖는 고주파 전압을 출력할 수 있거나, 30 내지 300 MHz의 주파수를 갖는 초단파(VHF) 전압을 출력할 수 있다. 이러한 전원은 바이어스 전원 공급기와 비교하여, 주 전원 공급기로 지칭될 수 있다. 특정 실시형태의 경우, 상부 전극을 위한 전원이 없고, 2개의 전원이 하부 전극에 연결됨을 유의한다. 다른 변형예가 구현될 수도 있다.
플라즈마 공정 장치의 구성 요소는 제어 장치에 연결되어 제어 장치에 의해 제어될 수 있으며, 제어 장치는 해당 메모리 저장 장치 및 사용자 인터페이스(모두 도시되지 않음)에 차례로 연결될 수 있다. 다양한 플라즈마 공정 작업이 사용자 인터페이스를 통해 실행될 수 있으며, 다양한 플라즈마 공정 방식 및 작업은 저장 장치에 저장될 수 있다. 따라서, 주어진 기판은 다양한 미세 가공 기술로 플라즈마 공정 챔버 내에서 처리될 수 있다. 작업 시에, 플라즈마 공정 장치는 상부 및 하부 전극을 사용하여, 공정 공간(PS)에 플라즈마를 발생시킨다. 그 다음, 이와 같이 발생된 플라즈마는, 플라즈마 에칭, 화학 기상 증착, 반도체 재료, 유리 재료, 및 박막 태양 전지, 다른 광전지와 같은 대형 패널, 및 평판 디스플레이를 위한 유기/무기 플레이트의 처리 등과 같은, 다양한 유형의 처리로 타겟 기판(예를 들어, 기판(602) 또는 처리될 임의의 재료)을 처리하기 위해 사용될 수 있다.
본 명세서 전반에 걸쳐서 "일 실시형태" 또는 "실시형태"라는 언급은 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이들이 모든 실시형태에 존재한다는 것을 의미하지 않음을 유의한다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서 "일 실시형태에서" 또는 "실시형태에서"라는 문구의 출현은 반드시 본 발명의 동일한 실시형태를 지칭하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조물이 다른 실시형태에 포함될 수 있거나/포함될 수 있고, 설명된 특징이 다른 실시형태에서 생략될 수 있다.
본원에 사용된 바와 같은 "마이크로 전자 소재"는 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 마이크로 전자 소재는 소자, 특히 반도체 또는 다른 전자 소자의 임의의 재료 부분 또는 구조물을 포함할 수 있으며, 예를 들어, 반도체 기판과 같은 베이스 기판 구조물, 또는 박막과 같은 베이스 기판 구조물 위에 있거나 위에 놓이는 층일 수 있다. 따라서, 소재는, 패터닝된 또는 패터닝되지 않은 임의의 특정 베이스 구조물, 하부층 또는 상부층으로 제한되는 것으로 의도되는 것이 아니라, 오히려 임의의 그러한 층 또는 베이스 구조물, 그리고 층 및/또는 베이스 구조물의 임의의 조합물을 포함하는 것으로 고려된다. 아래의 설명은 특정 유형의 기판을 언급할 수 있지만, 이는 단지 예시적인 목적을 위한 것이며 제한 사항이 아니다.
본원에 사용된 바와 같은 "기판"이라는 용어는 재료가 그 위에 형성된 기재 또는 구조물을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수의 층, 그 안에 상이한 구조물 또는 상이한 재료의 영역을 갖는 층 또는 층들 등을 포함할 수 있음을 이해할 것이다. 이러한 재료는 반도체, 절연체, 전도체, 또는 이들의 조합물을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 베이스 반도체 층, 하나 이상의 층, 구조물 또는 영역이 그 위에 형성된 반도체 기판 또는 금속 전극일 수 있다. 기판은 통상적인 실리콘 기판, 또는 반도체 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 바와 같은 "벌크 기판"이라는 용어는 실리콘 웨이퍼 뿐만 아니라, 실리콘-온-글라스(“SOG”) 기판 및 실리콘-온-사파이어("SOS") 기판과 같은, 실리콘-온-절연체("SOI") 기판, 베이스 반도체 토대 상의 실리콘의 에피택셜 층, 그리고 실리콘-게르마늄, 게르마늄, 갈륨 비소, 질화 갈륨, 및 인화 인듐과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다. 기판은 도핑될 수 있거나 도핑되지 않을 수 있다.
마이크로 전자 소재를 처리하기 위한 시스템 및 방법은 다양한 실시형태에서 설명된다. 관련 기술 분야의 당업자는 다양한 실시형태가 하나 이상의 구체적인 세부 사항 없이 실시될 수 있거나, 다른 대체 및/또는 추가적인 방법, 재료, 또는 구성 요소와 함께 실시될 수 있음을 인식할 것이다. 다른 경우에, 잘 알려진 구조, 재료, 또는 작업은 본 발명의 다양한 실시형태의 양태를 불명료하게 하는 것을 방지하기 위해 상세히 도시되거나 설명되지 않는다. 유사하게, 설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위해 구체적인 수, 재료, 및 구성이 상술된다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 다양한 실시형태는 예시적인 표현이며, 반드시 일정한 비율로 도시된 것은 아니라는 점을 이해한다.
설명된 시스템 및 방법의 추가적인 변형예 및 대안적인 실시형태는 본 설명을 고려하는 당업자에게 명백할 것이다. 따라서, 설명된 시스템 및 방법은 이러한 예시적인 방식으로 제한되지 않음을 인식할 것이다. 본원에서 도시되고 설명된 시스템 및 방법의 형태는 예시적인 실시형태로 간주되어야 함을 이해해야 한다. 구현예에서 다양한 변경이 이루어질 수 있다. 따라서, 본 발명은 구체적인 실시형태를 참조하여 본원에 설명되지만, 본 발명의 범위를 벗어나지 않고 다양한 변형 및 변경이 이루어질 수 있다. 따라서, 명세서 및 도면은 제한적인 의미가 아니라 예시적인 의미로 간주되어야 하며, 이러한 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다. 또한, 구체적인 실시형태와 관련하여 본원에서 설명된 문제에 대한 임의의 이점, 장점, 또는 솔루션은, 임의의 또는 모든 청구항의 중요한, 필요한 또는 필수적인 특징 또는 요소로 해석되도록 의도되지 않는다.

Claims (21)

  1. 마이크로 전자 소재를 처리하는 방법으로서,
    전자빔을 발생시키는 단계;
    공정 챔버 내의 마이크로 전자 소재를 위한 기판에 상기 전자빔을 전달하는 단계; 및
    상기 마이크로 전자 소재의 표면에 대하여, 플라즈마 에칭 공정 또는 플라즈마 증착 공정 중 적어도 하나를 수행하는 단계를 포함하는,
    마이크로 전자 소재를 처리하는 방법.
  2. 제1항에 있어서,
    상기 전달하는 단계는, 상기 기판의 하나 이상의 선택된 영역에 상기 전자빔을 전달하여, 상기 하나 이상의 선택된 영역에 대해 전자 자극 화학적 작용이 유도되도록 하는 단계를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 기판의 상기 하나 이상의 선택된 영역을 결정하기 위한 하나 이상의 마스크를 사용하는 단계를 더 포함하는, 방법.
  4. 제2항에 있어서,
    상기 전자 자극 화학적 작용은, 에칭 공정, 증착 공정, 또는 패시베이션 공정 중 적어도 하나를 촉진시키거나 억제시키는, 방법.
  5. 제1항에 있어서,
    상기 전달하는 단계는, 상기 발생시키는 단계 또는 상기 전달하는 단계 중 적어도 하나 동안, 직류(DC) 바이어스를 인가하는 단계를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 전달하는 단계 동안, 기판 홀더를 통하여 상기 기판에 무선 주파수(RF) 바이어스를 인가하는 단계를 더 포함하는, 방법.
  7. 제1항에 있어서,
    상기 발생시키는 단계는, 50 내지 100 밀리암페어(mA)의 상기 전자빔을 위한 전류를 발생시키는 단계를 포함하는, 방법.
  8. 제1항에 있어서,
    상기 발생시키는 단계는, 상기 공정 챔버 내에서 수행되는 전자 추출을 통해 상기 전자빔을 발생시키는 단계를 포함하는, 방법.
  9. 제8항에 있어서,
    상기 발생시키는 단계 동안, 소스 플라즈마 및 직류(DC) 바이어스를 사용하는 단계를 더 포함하는, 방법.
  10. 제9항에 있어서,
    상기 DC 바이어스는 펄싱되는, 방법.
  11. 제9항에 있어서,
    상기 공정 챔버 내에서 플라즈마를 지속시키기 위해, 상기 공정 챔버의 둘레에 위치된 코일에 전력을 공급하는 단계; 및
    상기 DC 바이어스, 상기 코일로의 전력, 및 상기 기판의 무선 주파수(RF) 바이어스를 교번하는 단계를 더 포함하는, 방법.
  12. 제1항에 있어서,
    상기 발생시키는 단계는, 상기 공정 챔버의 외부에서 전자 추출을 통해 상기 전자빔을 발생시키는 단계, 및 상기 추출된 전자를 상기 공정 챔버에 전달하는 단계를 포함하는, 방법.
  13. 제12항에 있어서,
    상기 발생시키는 단계 동안, 직류(DC) 바이어스를 사용하는 단계를 더 포함하는, 방법.
  14. 제13항에 있어서,
    상기 공정 챔버 내에서 플라즈마를 지속시키기 위해, 상기 공정 챔버의 둘레에 위치된 코일에 전력을 공급하는 단계; 및
    상기 DC 바이어스, 상기 코일로의 전력, 및 상기 기판의 무선 주파수(RF) 바이어스를 교번하는 단계를 더 포함하는, 방법.
  15. 제1항에 있어서,
    상기 전달하는 단계 및 수행하는 단계는, 원자층 증착(ALD) 공정을 위해 사용되는, 방법.
  16. 제15항에 있어서,
    상기 전달하는 단계는 상기 전자빔의 DC-바이어스 전달을 포함하며,
    상기 수행하는 단계는 전구체 플라즈마 가스를 점화하는 단계를 포함하고,
    상기 전달하는 단계 및 상기 수행하는 단계는 상기 기판 상에 재료층을 증착하기 위해 교번되는, 방법.
  17. 제1항에 있어서,
    상기 전달하는 단계 및 수행하는 단계는, 원자층 에칭(ALE) 공정을 위해 사용되는, 방법.
  18. 제17항에 있어서,
    상기 전달하는 단계는 상기 전자빔의 DC-바이어스 전달을 포함하며,
    상기 수행하는 단계는 반응성 이온 에칭(RIE) 또는 무선 주파수(RF) 스퍼터링 중 적어도 하나를 포함하고,
    상기 전달하는 단계 및 상기 수행하는 단계는 상기 기판 상에 재료층을 증착하기 위해 교번되는, 방법.
  19. 제18항에 있어서,
    상기 수행하는 단계는, 전구체 플라즈마 가스를 점화하는 단계를 더 포함하는, 방법.
  20. 제1항에 있어서,
    상기 수행하는 단계는, 공정 가스를 상기 공정 챔버에 전달하는 단계; 및 상기 전달된 전자빔을 사용하여, 상기 공정 가스의 화학적 작용에 기초하는 상기 기판의 표면 변형을 자극하는 단계를 포함하는, 방법.
  21. 제20항에 있어서,
    상기 수행하는 단계는 수직 폴리머 성장을 포함하는, 방법.
KR1020217006671A 2018-09-05 2019-08-29 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정 KR20210042939A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862727132P 2018-09-05 2018-09-05
US62/727,132 2018-09-05
US201862760383P 2018-11-13 2018-11-13
US62/760,383 2018-11-13
PCT/US2019/048838 WO2020051064A1 (en) 2018-09-05 2019-08-29 Apparatus and process for electron beam mediated plasma etch and deposition processes

Publications (1)

Publication Number Publication Date
KR20210042939A true KR20210042939A (ko) 2021-04-20

Family

ID=69641592

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217006671A KR20210042939A (ko) 2018-09-05 2019-08-29 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정

Country Status (4)

Country Link
US (1) US11257685B2 (ko)
KR (1) KR20210042939A (ko)
TW (1) TWI795589B (ko)
WO (1) WO2020051064A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
TWI829156B (zh) * 2021-05-25 2024-01-11 大陸商北京屹唐半導體科技股份有限公司 電漿源陣列、電漿處理設備、電漿處理系統以及用於在電漿處理設備中加工工件的方法
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050040037A1 (en) * 2003-08-20 2005-02-24 Walton Scott G. Electron beam enhanced large area deposition system
US7939450B2 (en) * 2007-09-21 2011-05-10 Tokyo Electron Limited Method and apparatus for spacer-optimization (S-O)
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9111728B2 (en) * 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US9147581B2 (en) * 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9978568B2 (en) * 2013-08-12 2018-05-22 Tokyo Electron Limited Self-sustained non-ambipolar direct current (DC) plasma at low power
WO2015042527A1 (en) * 2013-09-23 2015-03-26 The Regents Of The University Of Colorado, A Body Corporate Methods of growing thin films at low temperatures using electron stimulated desorption (esd)
US9520294B2 (en) * 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US10538844B2 (en) * 2015-09-11 2020-01-21 Fei Company Nanofabrication using a new class of electron beam induced surface processing techniques
US9799491B2 (en) * 2015-10-29 2017-10-24 Applied Materials, Inc. Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
US10490416B2 (en) * 2015-11-16 2019-11-26 Intel Corporation Structures and methods for improved lithographic processing
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법

Also Published As

Publication number Publication date
US20200075346A1 (en) 2020-03-05
US11257685B2 (en) 2022-02-22
WO2020051064A1 (en) 2020-03-12
TWI795589B (zh) 2023-03-11
TW202022917A (zh) 2020-06-16

Similar Documents

Publication Publication Date Title
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
US11410860B2 (en) Process chamber for etching low k and other dielectric films
US9972503B2 (en) Etching method
JP6689674B2 (ja) エッチング方法
US9190290B2 (en) Halogen-free gas-phase silicon etch
KR102309941B1 (ko) 피처리체를 처리하는 방법
KR102311575B1 (ko) 피처리체를 처리하는 방법
JP6529357B2 (ja) エッチング方法
US11075057B2 (en) Device for treating an object with plasma
KR20150104043A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US11264246B2 (en) Plasma etching method for selectively etching silicon oxide with respect to silicon nitride
TW201701331A (zh) 閘極電極材料殘留物移除製程
KR20160117220A (ko) 에칭 방법
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
Pu Plasma Etch Equipment
KR20190137927A (ko) 플라즈마 프로세스 후에 표면 입자 불순물들을 감소시키기 위한 제조 방법
JP2020177958A (ja) 基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal