TW201736642A - 用於蝕刻硬體之基於氫電漿清洗處理 - Google Patents

用於蝕刻硬體之基於氫電漿清洗處理 Download PDF

Info

Publication number
TW201736642A
TW201736642A TW106100674A TW106100674A TW201736642A TW 201736642 A TW201736642 A TW 201736642A TW 106100674 A TW106100674 A TW 106100674A TW 106100674 A TW106100674 A TW 106100674A TW 201736642 A TW201736642 A TW 201736642A
Authority
TW
Taiwan
Prior art keywords
plasma
edge ring
gas mixture
containing precursor
etching gas
Prior art date
Application number
TW106100674A
Other languages
English (en)
Other versions
TWI767897B (zh
Inventor
奇倫薩 洛利格
張景春
紀麗麗
王安川
尼汀K 英格爾
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201736642A publication Critical patent/TW201736642A/zh
Application granted granted Critical
Publication of TWI767897B publication Critical patent/TWI767897B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本揭露書提供了用於在基板蝕刻之後清洗腔室部件的方法。 在一個例子中,一種用於清洗的方法包括以下步驟:使用電漿活化蝕刻氣體混合物,以產生活化的蝕刻氣體混合物,蝕刻氣體混合物包含含氫前驅物和含氟前驅物;及將活化的蝕刻氣體混合物輸送到製程腔室的處理區域,製程腔室具有位於其中的邊緣環,邊緣環包含催化劑和抗催化材料,其中活化氣體從邊緣環移除抗催化材料。

Description

用於蝕刻硬體之基於氫電漿清洗處理
本發明的實施方式大體而言係關於用於清洗在半導體製造應用中所使用的蝕刻硬體的方法。
可靠地生產亞半微米和更小的特徵是半導體裝置的下一代大型積體電路(VLSI)和超大型積體電路(ULSI)的關鍵技術挑戰之一。然而,隨著電路技術的限制推進,VLSI和ULSI技術的縮小尺寸對處理能力提出了額外的要求。在基板上可靠地形成閘極結構對於VLSI和ULSI的成功及對於用以增加單獨的基板和晶粒的電路密度和品質的持續努力是重要的。
當形成這些特徵時,使用光阻層作為蝕刻光罩的蝕刻製程係經常使用。邊緣環可用於控制可用於蝕刻劑的基板之區域。通常,蝕刻劑在邊緣環附近之暴露的基板表面處積聚,這可能導致那個區域的過度蝕刻(也稱為邊緣捲起)。使用繞晶圓而放置的鎳邊緣環(Ni-ER)而控制晶圓邊緣蝕刻量(EA)。金屬Ni藉由作為淬滅過量蝕刻劑的化學催化劑而移除晶圓邊緣附近的過量蝕刻劑。
然而,一些生產的晶圓含有可改變的金屬化合物(諸如TiN)的數量。即使在對這些化合物具有良好選擇性(如,大於500:1)的蝕刻製程中,在Si蝕刻製程期間可能蝕刻少量。空中傳播的Ti物種沉積在腔室零件上,諸如製程套組的鋁部件和Ni-ER。 Ti可接著影響Ni-ER的催化活性,從而防止Ni-ER的保護活性。 ER催化活性的損失可導致在晶圓邊緣附近之強的Si蝕刻和在Si膜上之不良的蝕刻均勻性,這可能包括12%或更高的非均勻性百分比。
一些人已試圖藉由高溫烘烤污染的部件(如,在160℃的溫度下烘烤)和部件擦拭(如,使用濕和乾擦拭物)以恢復蝕刻輪廓,而未成功。其他選項包含以新部件更換所有的部件零件和邊緣環。然而,部件的更換既耗時又不具成本效益的。
因此,在本領域中存在有清洗或恢復蝕刻腔室部件的活性之方法的需求。
本揭露書提供用於在半導體應用中的蝕刻製程之後從Ti污染中回復腔室部件的方法。在一個例子中,清洗方法可包括以下步驟:使用電漿而活化蝕刻氣體混合物,以產生活化的蝕刻氣體混合物,蝕刻氣體混合物包含含氫前驅物和含氟前驅物;及將活化的蝕刻氣體混合物輸送到製程腔室的處理區域,製程腔室具有位於其中的邊緣環,邊緣環包含催化劑和抗催化材料,其中活化的氣體從邊緣環移除抗催化材料。
在另一個例子中,一種用於處理基板的方法可包括以下步驟:蝕刻位於製程腔室的處理區域中的基板,基板與包含催化劑的邊緣環結合定位,其中蝕刻基板的步驟包含以下步驟:沉積抗催化材料在邊緣環上;從處理區域移除基板;使用蝕刻氣體混合物而形成遠端電漿,蝕刻氣體混合物包含含氫前驅物和含氟前驅物;及將遠端電漿輸送到處理區域中的邊緣環,其中遠端電漿從邊緣環移除抗催化材料。
在另一個例子中,一種裝置清洗方法可包括以下步驟:將蝕刻氣體混合物輸送到製程腔室的電漿凹穴,製程腔室具有邊緣環,邊緣環包含鎳和小於5%原子量的鈦;使用蝕刻氣體混合物而形成遠端電漿,蝕刻氣體混合物包含H2 和NF3 ,H2 和NF3 的濃度的比例為至少3:1的比例,其中比例的前項決定最小值;及將遠端電漿從電漿凹穴輸送到製程腔室的處理區域,其中遠端電漿從邊緣環移除抗催化材料。
於此所述的實施方式大體而言係關於用於在半導體應用中的蝕刻製程之後從Ti污染中回復腔室部件的方法。在一個例子中,方法包括將遠端電漿輸送到催化的邊緣環,諸如鎳邊緣環。遠端電漿包括含氫物種和含氟物種。
在蝕刻製程期間,一些鈦被蝕刻並再沉積到邊緣環上,這降低了邊緣環的催化活性並且在邊緣環-基板界面處產生增加的蝕刻。藉由將包含含氫前驅物和含氟前驅物的電漿輸送到邊緣環,可清洗邊緣環並可恢復催化活性。這種清洗製程減少了更換部件的停機時間和成本。於此所揭露的實施方式參照以下的圖式更清楚地描述。
第1圖是適於執行如以下所進一步描述的邊緣環污染物移除製程的說明性製程腔室100的剖面圖。製程腔室100可經配置以從設置在基板表面上的材料層移除材料。製程腔室100對於執行電漿輔助乾式蝕刻製程係特別有用的。製程腔室100可為可從位於加州聖克拉拉市的應用材料公司所取得的Frontier TM,PCxT Reactive Preclean TM(RPC),AKTIV Pre-Clean TM,Siconi TM或Capa TM腔室。應注意可從其它製造商所取得的其它真空製程腔室也可適於實施於此所述的實施方式。
製程腔室100包括腔室本體112、蓋組件140和支撐組件180。蓋組件140設置在腔室本體112的上端處,且支撐組件180至少部分地設置在腔室本體112內。
腔室本體112包括形成在腔室本體112的側壁中的狹縫閥開口114,以提供對製程腔室100的內部的通路。狹縫閥開口114選擇性地打開和關閉,以允許藉由晶圓處理機器人(未顯示)而進入腔室本體112的內部。
在一或多個實施方式中,腔室本體112包括在其中形成的管道115,用於使熱傳流體流過其中。腔室本體112可進一步包括圍繞支撐組件180的襯墊120。襯墊120為可移除的,以用於維修和清洗。在一或多個實施方式中,襯墊120包括一或多個孔125和形成在其中的與真空系統流體連通的泵送通道129。孔125為進入泵送通道129中的氣體提供流動路徑,泵送通道129為製程腔室100內的氣體提供出口。
真空系統可包括真空泵130和節流閥132,以調節通過製程腔室100的氣體的流量。真空泵130耦接到設置在腔室本體112中的真空埠131,且因此與在襯墊120內所形成的泵送通道129流體連通。蓋組件140包括至少兩個堆疊的部件,經配置以在至少兩個堆疊的部件之間形成電漿容積或凹穴,形成遠端電漿源。在一或多個實施方式中,蓋組件140包括垂直設置在第二電極145(「下電極」)之上方的第一電極143(「上電極」),在第一電極143和第二電極145之間限定電漿容積或凹穴150。第一電極143連接到諸如RF功率源的功率源152,且第二電極145連接到地面,在兩個電極143、145之間形成電容,以提供遠端電漿給處理區域141。
在一或多個實施方式中,蓋組件140包括至少部分地形成在第一電極143的上區域156內的一或多個氣體入口154(僅顯示一個)。一或多個製程氣體經由一或多個氣體入口154而進入蓋組件140。一或多個氣體入口154在其第一端處與電漿凹穴150流體連通,且在其第二端處耦接到一或多個上游氣體源及/或其它氣體輸送部件,諸如氣體混合器。在一或多個實施方式中,第一電極143具有容納電漿凹穴150的擴展區段155。
在一或多個實施方式中,擴展區段155是環形構件,具有從其上部分155A到其下部分155B逐漸增加的內表面或直徑157。因此,在第一電極143和第二電極145之間的距離是可變的。那種變化的距離幫助控制在電漿凹穴150內所產生的電漿之形成和穩定性。在電漿凹穴150中所產生的電漿在進入支撐組件180之上方的處理區域141之前限定在蓋組件140中,其中基板係繼續進行,電漿被認為是遠離處理區域141而產生的遠端電漿源。
蓋組件140可進一步包括將第一電極143與第二電極145電隔離的隔離環160。蓋組件140可進一步包括與第二電極145相鄰的分配板170和阻擋板175。第二電極145、分配板170和阻擋板175可堆疊並設置在連接到腔室本體112的蓋邊緣178上。在一或多個實施方式中,第二電極145可包括形成在電漿凹穴150之下的多個氣體通道或孔165,以允許來自電漿凹穴150的氣體流過其中。分配板170基本上是盤形的,且還包括複數個孔172或通道,以分配氣體流過其中。在一或多個實施方式中,分配板170包括一或多個嵌入的管道或通道174,用於容納加熱器或加熱流體,以提供蓋組件140的溫度控制。阻擋板175包括複數個孔176,以提供從第二電極145到分配板170的複數個氣體通道。孔176可繞阻擋板175而經調整尺寸和位置,以提供氣體的受控且均勻的流動分配給分配板170。
支撐組件180可包括支撐構件185,以支撐用於在腔室本體112內處理的基板(在這個圖式中未顯示)。支撐構件185可通過軸187而耦接到舉升機構183,軸187通過形成在腔室本體112的底表面中的中心定位的開口116而延伸。舉升機構183可藉由波紋管188而撓性地密封到腔室本體112,波紋管188防止繞軸187的真空洩漏。
在一個實施方式中,電極181耦接到複數個RF偏壓功率源184、186。RF偏壓功率源184、186耦接在設置在支撐構件185中的電極181之間。RF偏壓功率激發並維持由設置在腔室本體的處理區域141中的氣體所形成的電漿放電。
在第1圖中所示的實施方式中,雙RF偏壓功率源184、186通過匹配電路189而耦接到設置在支撐構件185中的電極181。藉由RF偏壓功率源184、186所產生的信號通過單一饋送而通過匹配電路189輸送到支撐構件185,以離子化在製程腔室100中所提供的氣體混合物,從而提供用於執行沉積或其它電漿增強製程所需的離子能量。RF偏壓功率源184、186一般能夠產生具有從約50kHz至約200MHz的頻率和在約0W與約5000W之間的功率之RF信號。額外的偏壓功率源可耦接到電極181,以根據需求而控制電漿的特性。
支撐構件185可包括穿過其中而形成的鑽孔192,以容納舉升銷193,舉升銷193之一者顯示在第1圖中。每一舉升銷193由陶瓷或含陶瓷的材料所構成,且用於基板處理和運輸。當舉升銷193嚙合設置在腔室本體112內的環形舉升環195時,舉升銷193在其相應的鑽孔192內為可移動的。支撐組件180可進一步包括繞支撐構件185而設置的邊緣環196。
支撐組件180的溫度可藉由通過嵌入在支撐構件185的本體中之流體管道198而循環的流體所控制。在一或多個實施方式中,流體管道198與通過支撐組件180的軸187而設置的熱傳導管199流體連通。流體管道198繞支撐構件185而定位,以提供均勻的熱傳到支撐構件185的基板接收表面。流體管道198和熱傳導管199可流動熱傳流體,以加熱或冷卻支撐構件185。可使用任何合適的熱傳流體,諸如水,氮氣,乙二醇或其混合物。支撐組件180可進一步包括用於監控支撐構件185的支撐表面之溫度的嵌入式熱偶(未顯示)。例如,來自熱偶的信號可用在反饋迴路中,以控制通過流體管道198而循環之流體的溫度或流率。
支撐構件185可在腔室本體112內垂直地移動,使得可控制在支撐構件185和蓋組件140之間的距離。感測器(未顯示)可提供關於在製程腔室100內之支撐構件185的位置的資訊。
系統控制器(未顯示)可用以調節製程腔室100的操作。系統控制器可在儲存於計算機的記憶體上的計算機程式的控制下操作。計算機程式可包括使得能夠在製程腔室100中執行以下所述的清洗製程的指令。例如,計算機程式可指示製程順序和計時、氣體混合、腔室壓力、RF功率水平、基座定位、狹縫閥開啟和關閉、晶圓冷卻和特定製程的其他參數。
第2圖顯示用於在基板的蝕刻後清洗邊緣環的方法200。在一或多個實施方式中,基板包含含矽成分和含金屬成分(諸如含鈦成分)兩者。在一個實施例中,使用氯或氟蝕刻基板。蝕刻製程在邊緣環中或邊緣環上留下抗催化成分,諸如鈦。接著用包含氫和氟的電漿處理邊緣環,這從邊緣環移除抗催化成分。使用於此所述的方法恢復邊緣環的催化活性、減少停機時間、降低成本並維持腔室襯墊。
方法200藉由蝕刻位於製程腔室的處理區域中的基板而開始於202處。基板可為諸如晶體矽(如,Si <100>或Si <111>),氧化矽,應變矽,矽鍺,鍺,摻雜或未摻雜的多晶矽,摻雜或未摻雜的矽晶圓及圖案化或未圖案化的晶圓矽上絕緣體(SOI),碳摻雜氧化矽,氮化矽,摻雜矽,鍺,砷化鎵,玻璃或藍寶石。基板203可具有各種尺寸,諸如200mm,300mm,450mm或其它直徑,以及為矩形或正方形面板。除非另有說明,於此所述的例子在具有300mm直徑的基板上進行。
基板與邊緣環一起定位。邊緣環包括催化劑,諸如鎳。在蝕刻基板中,蝕刻劑從基板部分地萃取抗催化材料。於此所控告的抗催化材料描述影響邊緣環的催化性質的任何材料,諸如鈦。在正常的蝕刻操作期間,蝕刻劑將在邊緣環和基板之間的界面處積聚。這導致表面不均勻性,這可能對裝置性能有害。為防止這種情況,邊緣環包括催化材料,諸如鎳。催化材料降低了邊緣環-基板界面附近的蝕刻劑的蝕刻活性。諸如鈦的抗催化材料降低邊緣環的催化活性,因此允許在隨後的蝕刻製程期間的表面不均勻性。
接著在204處將基板從處理區域移除。接著將被充分蝕刻的基板從腔室移除。基板可被精密加工,或可移動到群集工具中的另一個腔室。這既防止基板的進一步不受控制的蝕刻,且又防止抗催化材料進一步沉積到邊緣環上。
在206處,使用蝕刻氣體混合物而形成遠端電漿。蝕刻氣體混合物包括含氫前驅物和含氟前驅物。將蝕刻氣體混合物供應到製程腔室中,以從邊緣環蝕刻抗催化材料。連續地或間歇地供應蝕刻氣體混合物,以蝕刻抗催化材料,直到移除足夠的抗催化材料,以恢復邊緣環的催化活性。
在一個實施方式中,選擇用以蝕刻抗催化材料的蝕刻氣體混合物包括至少含氫氣體和含氟前驅物。含氟前驅物的合適例子包括F2 ,HF,NF3 ,XeF2 ,CF4 ,CHF3 ,CH2 F2 ,CH3 F,它們的組合或類似者。
含氫前驅物的合適例子包含H2 ,H2 O,H2 O2 ,其組合或類似者。也可將惰性氣體供應到蝕刻氣體混合物中,以根據需求而輔助輪廓控制。在氣體混合物中所供應的惰性氣體的例子包括Ar,He,Ne,Kr,Xe或類似者。在一個特定例子中,蝕刻氣體混合物包括NF3 ,H2 和Ar或He。
不意欲受理論而束縛,據信氫和氟的組合允許清洗邊緣環,而不會對製程腔室中的製程套組部件造成有害影響。在遠端電漿中產生的氫自由基與沉積在邊緣環和其它腔室零件上的Ti物種反應,以形成富氫化學錯合物(如,氫與TiF4 相互作用)。這些氫化錯合物恢復以上所述的催化製程並減少基板邊緣附近的過量蝕刻劑。
在一個實施方式中,在蝕刻氣體混合物中供應的含氟前驅物可以在約100sccm和約10000sccm之間的體積流量而維持。H2 氣體可以在約100sccm和約10000sccm之間的體積流量而維持。任選的惰性氣體可以約0sccm和約10000sccm之間的體積流量供應到製程腔室。替代地,可在氣體混合物中以預定比例供應含氟前驅物和含氫前驅物和惰性氣體的流量。例如,將含氟前驅物與含氫前驅物的氣體體積流量比控制在約1:1之上,諸如至少3:1。替代地,將含氟前驅物與惰性氣體的氣體體積流量比控制在約1:1至約1:1000之間。在這前後文中,比例的「至少」,「小於」,「大於」或其他比較測量值描述前項的增加或減少的值,而不是比例的後果。
蝕刻氣體混合物可通過電漿凹穴150而供應到製程腔室100中,以在電漿凹穴150中從蝕刻氣體混合物中形成遠端電漿源,用於蝕刻矽材料306。
從蝕刻氣體混合物引入到製程腔室100中的氣體的量可改變和調整,以適應(例如)待移除的抗催化材料的厚度、被清洗的邊緣環的幾何形狀、電漿的體積容量、腔室本體的體積容量及耦接到腔室本體的真空系統的能力。
應注意還可調節含氟前驅物與含氫前驅物之間的比例,以改良蝕刻選擇性,包含在抗催化材料和邊緣環中的其它材料之間的選擇性。產生來自功率源152的遠端電漿功率,以從如上所述而供應的蝕刻氣體混合物在電漿凹穴中形成電漿。在電漿凹穴中之遠端產生的電漿可具有離解的蝕刻劑,以形成相對輕微且溫和的蝕刻劑,以緩慢、溫和並逐漸地蝕刻抗催化材料,直到曝露下面的邊緣環材料。
接著在208處將遠端電漿輸送到處理區域中的邊緣環。遠端電漿從邊緣環移除抗催化材料。接著將遠端電漿輸送到邊緣環,以從邊緣環蝕刻抗催化材料。蝕刻製程可利用遠端電漿源而控制成以緩慢的速率進行。結果,遠端電漿製程為界面蝕刻提供良好的控制並促進高蝕刻選擇性,以允許從邊緣環移除抗催化材料的精確蝕刻終點,而不損害邊緣環之成分或製程套組的鋁部件。
在蝕刻製程期間,可調節一些製程參數以控制蝕刻製程。在一個示例性實施方式中,將製程腔室100中的製程壓力調節到小於0.5Torr,諸如在約10mTorr和約100mTorr之間。替代地,RF偏壓功率可任選地通過RF偏壓功率源184、186而供應給設置在基板支撐構件185中的電極181。例如,當根據需求而供應蝕刻氣體混合物時,可施加具有約小於300W,諸如小於100W,例如在約20W至約95W之間的RF偏壓功率。RF源功率可根據需求而任選地供應到製程腔室100。基板溫度維持在約攝氏25度至約攝氏1000度之間,諸如在約攝氏30度至約攝氏500度之間,例如在約攝氏50度至攝氏150度之間。在一個實施方式中,在蝕刻製程期間不提供RF偏壓功率或不提供RF源功率,以減少離子轟擊。在另一個例子中,在蝕刻製程期間提供沒有RF源功率的RF偏壓功率,以減少離子轟擊。在又另一個例子中,在蝕刻製程期間不提供RF偏壓功率,以減少離子轟擊。
因此,藉由輸送活化的第一氣體(包括含氫前驅物和含氟前驅物),可從催化邊緣環移除過量的抗催化材料。與其它工作方案(如,零件更換)相比,催化邊緣環的恢復可能導致多重的成本和時間減少。此外,不需要腔室拆卸。此外,以上所述的方法僅使用對預先存在的蝕刻和清洗製程的最小改變。氫前驅物流速、電漿產生功率、ICC製程時間允許使用者控制邊緣蝕刻量。最後,以上所述的方法具有減少某些金屬污染物的第三個益處。由於形成揮發性金屬氫化物,可消除處理區域中的一些金屬污染物(如,鉻)。
雖然前面部分涉及於此所述的方法的實施方式,但方法的其他和進一步的實施方式可經設計而不背離本方法的基本範圍,且本方法的範圍由以下的申請專利範圍而確定。
100‧‧‧製程腔室
112‧‧‧腔室本體
114‧‧‧狹縫閥開口
115‧‧‧管道
116‧‧‧中心定位的開口
120‧‧‧襯墊
125‧‧‧孔
129‧‧‧泵送通道
130‧‧‧真空泵
131‧‧‧真空埠
132‧‧‧節流閥
140‧‧‧蓋組件
141‧‧‧處理區域
143‧‧‧第一電極/電極
145‧‧‧第二電極/電極
150‧‧‧電漿凹穴
152‧‧‧功率源
154‧‧‧氣體入口
155‧‧‧擴展區段
155A‧‧‧上部分
155B‧‧‧下部分
156‧‧‧上區域
157‧‧‧直徑
160‧‧‧隔離環
165‧‧‧孔
170‧‧‧分配板
172‧‧‧孔
174‧‧‧通道
175‧‧‧阻擋板
176‧‧‧孔
178‧‧‧蓋邊緣
179
180‧‧‧支撐組件
181‧‧‧電極
183‧‧‧舉升機構
184‧‧‧RF偏壓功率源
185‧‧‧支撐構件
186‧‧‧RF偏壓功率源
187‧‧‧軸
188‧‧‧波紋管
189‧‧‧匹配電路
190
192‧‧‧鑽孔
193‧‧‧舉升銷
195‧‧‧舉升環
196‧‧‧邊緣環
197
198‧‧‧流體管道
199‧‧‧導管
200‧‧‧方法
202
203‧‧‧基板
204
206
208
306‧‧‧矽材料
為使可詳細理解本發明的以上所載之特徵的方式,可藉由參考實施方式來對上面所簡單地摘要之本發明的更具體的描述而獲得,實施方式的一些係顯示在附隨的圖式中。然而,應當注意附隨的圖式僅顯示這個發明的典型實施方式,且因此不應被認為是對本發明之範圍的限制,因為本發明可允許其他等效的實施方式。
第1圖描繪可用以在基板上執行蝕刻製程的蝕刻製程腔室;
第2圖描繪根據一個實施方式之用於從邊緣環清洗抗催化材料之方法的流程圖;且
為促進理解,在可能的情況下使用相同的元件符號以指定共用於圖式中的相同元件。應理解一個實施方式的元件和特徵可有利地併入其他實施方式中,而無需進一步詳述。
然而,應注意附隨的圖式僅說明示例性實施方式且因此不被視為限制示例性實施方式之範圍,因為於此所述的方法可允許其它等效的實施方式。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
200‧‧‧方法
202
204
206
208

Claims (20)

  1. 一種清洗方法,包含以下步驟: 使用一電漿而活化一蝕刻氣體混合物,以產生一活化的蝕刻氣體混合物,該蝕刻氣體混合物包含含氫前驅物和含氟前驅物;及將該活化的蝕刻氣體混合物輸送到一製程腔室的一處理區域,該製程腔室具有位於其中的一邊緣環,該邊緣環包含一催化劑和一抗催化材料,其中該活化的氣體從該邊緣環移除該抗催化材料。
  2. 如請求項1所述之方法,其中該含氫前驅物為H2 ,H2 O,H2 O2 或其組合。
  3. 如請求項1所述之方法,其中該含氟前驅物是F2 ,HF,NF3 ,XeF2 ,CF4 ,CHF3 ,CH2 F2 ,CH3 F或其組合。
  4. 如請求項1所述之方法,其中該含氫前驅物與該含氟前驅物之濃度的比例為至少3:1的一比例。
  5. 如請求項1所述之方法,其中活化一蝕刻氣體混合物的步驟進一步包含以下步驟:從界定在一製程腔室的一蓋中的一電漿凹穴形成一遠端電漿。
  6. 如請求項1所述之方法,進一步包含以下步驟:將該邊緣環以約攝氏25度和約攝氏1000度之間的一溫度而維持。
  7. 如請求項1所述之方法,進一步包含以下步驟:在該遠端電漿製程期間在沒有一RF源功率的情況下供應一RF偏壓功率。
  8. 如請求項1所述之方法,進一步包含以下步驟:維持小於0.5Torr的一製程壓力。
  9. 如請求項1所述之方法,其中該抗催化材料為鈦。
  10. 一種用於處理一基板的方法,包含以下步驟: 蝕刻位於一製程腔室的一處理區域中的一基板,該基板與包含一催化劑的一邊緣環結合定位,其中蝕刻該基板的步驟包含以下步驟:沉積一抗催化材料在該邊緣環上;從該處理區域移除該基板;使用一蝕刻氣體混合物而形成一遠端電漿,該蝕刻氣體混合物包含一含氫前驅物和一含氟前驅物;及將該遠端電漿輸送到該處理區域中的該邊緣環,其中該遠端電漿從該邊緣環移除該抗催化材料。
  11. 如請求項10所述之方法,其中該含氫前驅物為H2 ,H2 O,H2 O2 或其組合。
  12. 如請求項10所述之方法,其中該含氟前驅物是F2 ,HF,NF3 ,XeF2 ,CF4 ,CHF3 ,CH2 F2 ,CH3 F或其組合。
  13. 如請求項10所述之方法,其中該含氫前驅物與該含氟前驅物之濃度的比例為至少3:1的一比例。
  14. 如請求項10所述之方法,其中活化一蝕刻氣體混合物的步驟進一步包含以下步驟:從界定在一製程腔室的一蓋中的一電漿凹穴形成一遠端電漿。
  15. 如請求項10所述之方法,進一步包含以下步驟:將該邊緣環以約攝氏25度和約攝氏1000度之間的一溫度而維持。
  16. 如請求項10所述之方法,進一步包含以下步驟:在該遠端電漿製程期間在沒有一RF源功率的情況下供應一RF偏壓功率。
  17. 如請求項10所述之方法,進一步包含以下步驟:維持小於0.5Torr的一製程壓力。
  18. 如請求項10所述之方法,其中該抗催化材料為鈦。
  19. 一種裝置清洗方法,包含以下步驟: 將一蝕刻氣體混合物輸送到一製程腔室的一電漿凹穴,該製程腔室具有一邊緣環,該邊緣環包含鎳和小於5%的鈦;使用一蝕刻氣體混合物而形成一遠端電漿,該蝕刻氣體混合物包含H2 和NF3 ,H2 和NF3 的濃度的比例為至少3:1的一比例,其中該比例的前項決定最小值;及將該遠端電漿從該電漿凹穴輸送到該製程腔室的一處理區域,其中該遠端電漿從該邊緣環移除該抗催化材料。
  20. 如請求項19所述之方法,其中活化一蝕刻氣體混合物的步驟進一步包含以下步驟:從界定在一製程腔室的一蓋中的一電漿凹穴形成一遠端電漿。
TW106100674A 2016-01-13 2017-01-10 用於蝕刻硬體之基於氫電漿清洗處理 TWI767897B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662278255P 2016-01-13 2016-01-13
US62/278,255 2016-01-13

Publications (2)

Publication Number Publication Date
TW201736642A true TW201736642A (zh) 2017-10-16
TWI767897B TWI767897B (zh) 2022-06-21

Family

ID=59275910

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109141502A TWI768564B (zh) 2016-01-13 2017-01-10 用於蝕刻硬體之基於氫電漿清洗處理
TW106100674A TWI767897B (zh) 2016-01-13 2017-01-10 用於蝕刻硬體之基於氫電漿清洗處理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109141502A TWI768564B (zh) 2016-01-13 2017-01-10 用於蝕刻硬體之基於氫電漿清洗處理

Country Status (6)

Country Link
US (1) US10026597B2 (zh)
JP (1) JP6920309B2 (zh)
KR (1) KR20180094122A (zh)
CN (2) CN117153656A (zh)
TW (2) TWI768564B (zh)
WO (1) WO2017123423A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741337B (zh) * 2018-08-28 2021-10-01 韓國機械研究院 具有遠端電漿裝置的真空泵系統

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113113280B (zh) * 2020-01-09 2022-06-10 江苏鲁汶仪器有限公司 等离子体处理系统及其开合法拉第组件

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP5184890B2 (ja) * 2004-12-21 2013-04-17 アプライド マテリアルズ インコーポレイテッド 基板のための処理チャンバ
US20060254613A1 (en) * 2005-05-16 2006-11-16 Dingjun Wu Method and process for reactive gas cleaning of tool parts
JP4773142B2 (ja) * 2005-06-14 2011-09-14 芝浦メカトロニクス株式会社 ステージ及びそれを備えた半導体処理装置
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
JP5703315B2 (ja) * 2011-02-08 2015-04-15 株式会社アルバック ラジカルエッチング方法
KR20130012671A (ko) * 2011-07-26 2013-02-05 삼성전자주식회사 반도체 소자 제조 장비의 세정 방법
JP5982223B2 (ja) * 2012-08-27 2016-08-31 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
JP6049527B2 (ja) * 2013-04-05 2016-12-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6177601B2 (ja) * 2013-06-25 2017-08-09 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
JP6285213B2 (ja) * 2014-03-03 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
US9824865B2 (en) * 2014-03-05 2017-11-21 Lam Research Corporation Waferless clean in dielectric etch process
US9397011B1 (en) 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741337B (zh) * 2018-08-28 2021-10-01 韓國機械研究院 具有遠端電漿裝置的真空泵系統

Also Published As

Publication number Publication date
TW202122634A (zh) 2021-06-16
TWI767897B (zh) 2022-06-21
KR20180094122A (ko) 2018-08-22
JP6920309B2 (ja) 2021-08-18
US10026597B2 (en) 2018-07-17
CN108292601A (zh) 2018-07-17
CN117153656A (zh) 2023-12-01
TWI768564B (zh) 2022-06-21
US20170200590A1 (en) 2017-07-13
WO2017123423A1 (en) 2017-07-20
JP2019502269A (ja) 2019-01-24

Similar Documents

Publication Publication Date Title
TWI815809B (zh) 無水的蝕刻方法
US10566206B2 (en) Systems and methods for anisotropic material breakthrough
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
US20190074191A1 (en) Etching method and workpiece processing method
US8980758B1 (en) Methods for etching an etching stop layer utilizing a cyclical etching process
TWI520212B (zh) 選擇性氮化鈦蝕刻
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US20150072530A1 (en) Methods for etching materials using synchronized rf pulses
TW201440138A (zh) 用於鹵化物驅氣的處理系統及方法
US20170338119A1 (en) Two-step fluorine radical etch of hafnium oxide
US11335565B2 (en) Systems and methods to form airgaps
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理
US11328909B2 (en) Chamber conditioning and removal processes
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
TW201903834A (zh) 自對準觸點與閘極處理流程
TWI837338B (zh) 基板處理方法及基板處理裝置
TWI778048B (zh) 形成半導體結構的方法
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻