CN112771650A - 间隔物刻蚀工艺 - Google Patents

间隔物刻蚀工艺 Download PDF

Info

Publication number
CN112771650A
CN112771650A CN202080005249.5A CN202080005249A CN112771650A CN 112771650 A CN112771650 A CN 112771650A CN 202080005249 A CN202080005249 A CN 202080005249A CN 112771650 A CN112771650 A CN 112771650A
Authority
CN
China
Prior art keywords
workpiece
plasma
gas
mixture
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202080005249.5A
Other languages
English (en)
Other versions
CN112771650B (zh
Inventor
宋采文
C·闫
杨晓晅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of CN112771650A publication Critical patent/CN112771650A/zh
Application granted granted Critical
Publication of CN112771650B publication Critical patent/CN112771650B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了用于处理工件的系统和方法。在一个实施例中,方法包括将工件放置在处理腔室中的工件支撑体上。工件具有至少一个材料层和其上的至少一种结构。该方法包括允许工艺气体进入等离子体腔室中,由工艺气体产生一种或多种物质,并且过滤所述一种或多种物质以产生过滤后的混合物。该方法还包括向偏压电极提供射频功率以产生第二混合物,并且将工件暴露于第二混合物以刻蚀材料层的至少一部分并且在材料层的至少一部分上形成膜。

Description

间隔物刻蚀工艺
优先权
本申请要求2019年8月30日提交的名称为“间隔物刻蚀工艺(SPACER ETCHINGPROCESS)”的美国临时申请第62/894,092号的优先权权益,该申请通过引用并入本申请以用于所有目的。
技术领域
本公开总体涉及例如半导体工件等工件的处理。
背景技术
半导体工件的处理可以包括在衬底上沉积和去除不同的材料层。随着半导体器件关键尺寸的缩小,器件尺寸和材料厚度不断减小。在先进的器件节点中,对其它材料具有高选择性的材料去除可能对半导体器件的性能而言越来越重要。自对准多重图案化技术(例如,自对准双重图案化(SADP)、自对准四重图案化(SAQP))可以实现具有传统光刻工具分辨率的减小的特征尺寸。
发明内容
本公开的实施方式的方面和优点将部分地在下面的描述中阐述,或者可以从描述中了解,或者可以通过实施方式的实践来了解。
本公开的一个示例性方面涉及一种用于处理工件的方法。工件可以包括至少一个间隔物层和至少一个芯轴结构。该方法可以包括等离子体设备,该等离子体设备包含具有可操作以容纳工艺气体的内部的等离子体腔室和具有可操作以支撑工件的工件支撑体的处理腔室。等离子体处理设备还可以包括布置在等离子体腔室周围的感应线圈和布置在工件支撑体中的偏压电极。该方法包括将工件放置在处理腔室中的工件支撑体上。该方法包括允许工艺气体进入等离子体腔室中,该工艺气体包含含氟气体和聚合物形成气体。该方法包括向感应线圈提供射频(RF)功率以由工艺气体产生第一等离子体,从而产生第一混合物。第一混合物包含一种或多种第一物质。该方法包括过滤一种或多种第一物质以产生过滤后的混合物。该方法包括向偏压电极提供RF功率以在处理腔室中的过滤后的混合物中产生第二等离子体,从而产生第二混合物,该第二混合物包含一种或多种第二物质。该方法包括将工件暴露于第二混合物,以刻蚀工件的至少一个间隔物层的至少一部分并且在至少一个间隔物层的至少一部分上形成聚合物层。
本公开的另一示例性方面涉及等离子体处理设备。该等离子体处理设备包括:等离子体腔室,具有可操作以容纳工艺气体的内部;处理腔室,具有可操作以支撑工件的工件支撑体,其中在工件支撑体中布置有偏压电极;隔栅,将等离子体腔室与处理腔室隔开;气体输送系统,可操作以使含氟气体流动;感应元件,可操作以在等离子体腔室中诱导等离子体;偏压源,配置用于向偏压电极提供直流(DC)功率和RF功率;以及控制器,配置用于控制气体输送系统、感应元件和偏压源以实施间隔物开孔工艺。间隔物开孔工艺可以包括操作。所述操作可以包括允许工艺气体进入等离子体腔室中,所述工艺气体包括含氟气体和聚合物形成气体;向所述感应线圈提供RF功率以由工艺气体产生第一等离子体,从而产生第一混合物,所述第一混合物包括一种或多种第一物质;过滤所述一种或多种第一物质以产生过滤后的混合物;以及向偏压电极提供RF功率以在处理腔室中在过滤后的混合物中产生第二等离子体,从而产生第二混合物,所述第二混合物包括一种或多种第二物质。
参考以下描述和所附权利要求,将更好地理解各实施方式的这些和其他特征、方面和优点。并入且构成本说明书一部分的附图说明了本公开的实施方式,并且与说明书一起用于解释相关原理。
附图说明
在参考附图的说明书中阐述了给本领域普通技术人员的实施方式的详细讨论,在附图中:
图1A描绘了布置在工件上经历间隔物刻蚀工艺的间隔物结构;
图1B描绘了布置在工件上经历间隔物刻蚀工艺的间隔物结构;
图2A描绘了布置在工件上经历本公开的示例性间隔物刻蚀工艺的间隔物结构;
图2B描绘了布置在工件上经历本公开的示例性间隔物刻蚀工艺的间隔物结构;
图3描绘了布置在工件上经历本公开的示例性间隔物刻蚀工艺的间隔物结构;
图4描绘了根据本公开的示例性实施方式的示例性等离子体处理设备;
图5描绘了根据本公开的示例性实施方式的示例性等离子体处理设备;
图6描绘了根据本公开的示例性实施方式的示例性方法的流程图;以及
图7描绘了根据本公开的示例性实施方式的示例性方法的流程图。
具体实施方式
现在将详细参考实施例,这些实施例的一个或更多个示例在附图中示出。每个示例以解释实施例而非限制本公开的方式提供。事实上,对于本领域技术人员来说显而易见的是,在不脱离本公开的范围或精神的情况下可以对实施例进行各种修改和变化。例如,作为一个实施例的一部分示出或描述的特征可以与另一实施例一起使用以产生另外的实施例。因此,本公开的各方面旨在涵盖这些修改和变化。
本公开的示例性方面涉及用于在工件上的自对准多重图案化(例如,自对准双重图案化(SADP)、自对准四重图案化(SAQP))。随着半导体器件的关键尺寸的缩小,器件尺寸和材料厚度不断减小。在高级逻辑和存储器(例如动态随机存取存储器DRAM)设备的制造中,所谓的自对准多重图案化技术可以是利用传统光刻工具分辨率显著减小特征尺寸的经济方法。
在示例性SADP工艺流程中,在衬底上形成芯轴结构。使用沉积工艺(例如,原子层沉积工艺)在芯轴结构上形成间隔物层(例如,SiN层)。间隔物在顶部和底部被开孔,形成间隔物对结构,在该结构之间具有芯轴(例如硅)材料。随后,在间隔物结构保持完整的情况下将芯轴材料选择性地去除。间隔物结构在随后的各向异性离子间隔物刻蚀工艺中用作硬掩模,以将其图案转移到下层材料。在工艺流程的另一示例中,自对准双重图案化(SADP)方案可以在所谓的自对准四重图案化(SAQP)方案中重复。
自对准多重图案化工艺可能带来挑战。例如,残余的间隔物材料可以引起微掩蔽效应,导致在随后的刻蚀步骤中残留芯轴残留物。例如来自远程等离子体源的纯反应性离子刻蚀或自由基刻蚀的使用可以减小间隔物的关键尺寸,这可以减少精确的图案转移。结构底部的氧化物损失(例如SiO2)在随后的图案转移步骤中会引起需要去除不平整的氧化物的问题。已经使用沉积-刻蚀循环脉冲来试图保持间隔物的关键尺寸(CD)。但是,由于从上到下的负载,这会导致不期望的倒梯形形状。此外,在刻蚀图案中常见的孤立/密集微负载效应(iso/dense microloading effect)可能难以只通过刻蚀工艺来控制。
根据本公开的示例性方面,用于处理工件的方法(例如,作为自对准多重图案化工艺的一部分)可以包括例如间隔物刻蚀工艺。间隔物刻蚀工艺可以包括在等离子体处理设备的等离子体腔室中由工艺气体产生一种或多种物质。一种或多种物质可以由感应耦合的等离子体和/或电容耦合的等离子体产生。可以过滤一种或多种物质(例如,使用隔栅)以产生过滤后的混合物。可以将RF能量施加于偏压电极以产生暴露至工件的包含一种或多种第二物质的直接等离子体。工艺气体可以包括含氟气体(例如CF4、NF3和/或SF6)和聚合物形成气体(例如CH4、CH3F或H2)。可以将稀释气体或载气(例如Ar、He、N2)或其他气体(例如O2)添加到工艺气体中。例如,在一些实施方式中,工艺气体可以包含选自Ar、He或N2的一种或多种稀释气体。
在间隔物刻蚀工艺期间,可以由存在于物质混合物中的特定物质在间隔物结构上形成聚合物层。在一些实施方式中,聚合物层可以形成在间隔物结构的侧壁上,从而起到刻蚀抑制剂并且阻止间隔物结构的侧壁受刻蚀剂物质影响的作用。在间隔物刻蚀工艺期间,DC偏压可以被施加到工件支撑体中的偏压电极上。DC偏压可以使刻蚀物质朝向工件加速,从而促进与相对于工件的横向区域(例如,间隔物材料的侧壁)相比,相对于工件的竖直区域具有更快的刻蚀速度。DC偏压可以使一些聚合物形成物质朝向工件加速,从而促进聚合物在工件上的沉积。向间隔物结构的侧壁施加聚合物膜可以增加结构的刻蚀各向异性。
间隔物刻蚀工艺可以继续或重复,直到间隔物材料被充分刻蚀(例如,开孔)并且芯轴结构暴露。一旦芯轴结构被暴露,就可以进行芯轴去除工艺,以去除芯轴,留下间隔物,用于将图案转移到下层材料。
本公开的示例性方面可以提供许多技术效果和益处。例如,本文所提供的方法可结合反应性离子刻蚀和工艺气体比率,以便以高各向异性并且由于横向刻蚀抑制和沟槽底部沉积而选择性地有效刻蚀间隔物材料。此外,可以调节工艺气体比率以控制用于具有不同间距尺寸的间隔物的CD负载。另外,根据本公开的示例性方面的间隔物刻蚀工艺可以通过不具有脉冲能力的刻蚀设备,诸如等离子体处理设备使用,并且不需要在两个不同的循环的沉积和刻蚀步骤之间交替,从而减少处理时间。
提及“工件”讨论本公开的各方面。为了说明和讨论的目的,工件可以包括半导体晶圆。本领域技术人员在使用本文提供的公开内容时会理解,本公开的示例性方面可以与任何工件(例如,半导体衬底或其他合适的衬底)结合使用。此外,与数值结合使用的术语“大约”旨在表示所述数值的百分之二十(20%)以内。
如本文所用,“远程等离子体”是指相对于工件远程地(例如在通过隔栅与工件隔开的等离子体腔室中)产生的等离子体。如本文所用,“直接等离子体”是指直接暴露至工件的等离子体,例如在具有可操作以支撑工件的工件支撑体的处理腔室中产生的等离子体。
图1A和图1B描绘了示例性间隔物开孔刻蚀工艺15。更具体地,图1A和图1B示出了工件10,在其上具有示例性间隔物轮廓。工件10包括衬底11、间隔物材料14和至少一个芯轴12。如图1A所示,在覆盖芯轴12的间隔物材料14之间存在一定量的空间。在图1A中,芯轴12之间的空间要小得多,因为芯轴12在衬底11上靠得更近(例如,间距更小)。当暴露至间隔物开孔刻蚀工艺15时,考虑到图1A的芯轴12之间的空间更小,该空间在刻蚀工艺15之后可以基本保持不变。因此,虽然间隔物材料14在该空间内横向上可能存在一些材料损失,但是更小的空间阻止一些刻蚀剂横向接近间隔物材料。
然而,对于具有间隔更远的芯轴(例如,间距更大)的间隔物结构,可能并非如此。例如,图1B描绘了具有芯轴12和间隔物材料14的间隔物轮廓,其与图1A的间隔物轮廓相比,间隔得更远,从而在芯轴12之间形成更大的空间。将图1B的工件10暴露于间隔物体开孔工艺15会导致间隔物材料14的横向损失,这可以减小间隔物材料14的关键尺寸CD,即可以减小保留在衬底11上的间隔物材料14的宽度。减少间隔物材料14的CD可能是不期望的,因为这可能会影响图案转移和随后的刻蚀工艺。
根据本公开的示例性方面的方法能够导致间隔物侧壁材料的保留,从而减少或防止间隔物材料的CD的任何变化。例如,图2A和图2B描绘了根据本公开的示例性方面的间隔物刻蚀工艺20。如图所示,工件10包括衬底11、间隔物材料14和至少一个芯轴12。图2A中的芯轴12之间的空间比图2B中所示的芯轴之间的空间小得多。根据本公开的示例性方面,将图2B的工件10暴露于间隔物刻蚀工艺20会防止间隔物材料14的横向损失。因此,可以保持间隔物材料的CD。因此,无论工件上的图案密度如何,都可以使用本文所述的方法来防止间隔物材料14的损失。
图3描绘了根据本公开的示例性实施例的示例性间隔物刻蚀方法20的概述图。例如,工件可以包括至少一种结构,该结构可以包括在衬底层11上布置有一个或多个芯轴结构12(例如,硅芯轴)的图案化结构。一个或多个芯轴结构可以具有一个或多个侧壁。衬底层11可以是半导体层(例如,Si、SiGe)和/或介电层(例如,SiO2)。间隔物层14可以布置在包括芯轴结构12的侧壁的芯轴结构12之上。间隔物层可以是例如SiN间隔物层。
工件10可以暴露于间隔物刻蚀工艺20,以至少部分地去除间隔物层14的一部分。工件10可以根据期望暴露于间隔物刻蚀工艺20,直到去除足够量的间隔物材料14以暴露芯轴结构12为止。可以实施芯轴去除工艺22以去除芯轴结构并将间隔物14留在衬底层11上。此外,如图3所示,在刻蚀工艺20期间,可以在间隔物材料14的侧壁上横向形成聚合物膜16。实际上,该聚合物膜16在刻蚀工艺中可以钝化间隔物材料14的侧壁,从而防止刻蚀剂物质横向去除间隔物材料14的侧壁。
图4描绘了可以用于实施根据本公开的示例性实施方式的工艺的示例性等离子体处理设备500。更具体地,等离子体处理设备500包括处理腔室110和与处理腔室110隔开的等离子体腔室120。处理腔室110包括工件支撑体或基座112,其可操作以保持待处理工件114,例如半导体晶圆。在该示例性图示中,等离子体由感应耦合等离子体源135在等离子体腔室120(即,等离子体产生区域)中产生,并且期望的物质通过隔栅组件200由等离子体腔室120引导到工件114的表面。
等离子体腔室120包括介电侧壁122和顶部124。介电侧壁122、顶部124和隔栅200限定等离子体腔室内部125。介电侧壁122可以由介电材料(例如石英和/或氧化铝)形成。感应耦合等离子体源135可以包括邻近围绕等离子体腔室120的介电侧壁122布置的感应线圈130。感应线圈130通过合适的匹配网络132耦合到RF功率发生器134。工艺气体可以从供气装置150和环形气体分配通道151或其他合适的气体引入机构提供到腔室内部。当利用来自RF功率发生器134的RF功率对感应线圈130供能时,可以在等离子体腔室120中产生等离子体502。在特定实施方式中,等离子体处理设备100可以包括可选的接地法拉第笼128,以减少感应线圈130到等离子体502的电容耦合。
如图4所示,隔栅200将等离子体腔室120与处理腔室110隔开。隔栅200可以用于对等离子体腔室120中的等离子体产生的混合物进行离子过滤,以产生过滤后的混合物。过滤后的混合物可以暴露在处理腔室110的内部。
在一些实施方式中,隔栅200可以是多板隔栅。例如,隔栅200可以包括彼此平行地间隔开的第一栅板210和第二栅板220。第一栅板210和第二栅板220可以分开一段距离。
第一栅板210可以包括具有多个孔的第一网格图案。第二栅板220可以具有带多个孔的第二网格图案。第一网格图案可以与第二网格图案相同或不同。带电粒子可以通过隔栅中每个栅板210、220的孔在其路径上在壁上重新组合。中性物质(例如,自由基)可以相对自由地流过第一栅板210和第二栅板220中的孔。每个栅板210和220的孔的大小和厚度可以影响带电粒子和中性粒子的透过性。
在一些实施方式中,第一栅板210可以由金属(例如铝)或其他导电材料制成和/或第二栅板220可以由导电材料或介电材料(例如,石英、陶瓷等)制成。在一些实施方式中,第一栅板210和/或第二栅板220可以由诸如硅或碳化硅的其他材料制成。如果栅板由金属或其他导电材料制成,则栅板可以接地。
图4的示例性等离子体处理设备500可操作以在等离子体腔室120中产生第一等离子体502(例如,远程等离子体)和在处理腔室110中产生第二等离子体504(例如,直接等离子体)。第一等离子体502可以由感应耦合等离子体源产生。第二等离子体504可以由例如电容耦合等离子体源(例如,偏压)产生。如本文所用,“远程等离子体”是指相对于工件远程地(例如在通过隔栅与工件隔开的等离子体腔室中)产生的等离子体。如本文所用,“直接等离子体”是指直接暴露于工件的等离子体,例如在具有可操作以支撑工件的工件支撑体的处理腔室中产生的等离子体。
更具体地,图4的等离子体处理设备500包括在基座112中具有偏压电极510的偏压源。偏压电极510可以经由适当的匹配网络512耦合到RF功率发生器514。当利用RF能量使偏压电极510供能时,可以由处理腔室110中的过滤后的混合物或工艺气体产生第二等离子体504,用于直接暴露至工件114。处理腔室110可以包括用于从处理腔室110排出气体的排气口516。
在一些实施方式中,基座112被配置为使得可以将DC偏压施加到工件114。在一些实施方式中,DC功率被施加到位于基座112中的偏压电极510。DC偏压可以被施加以产生电场,使得一些物质可以朝向工件114吸引和/或加速。通过对工件114施加DC偏压,可以控制一些离子物质的通量。这可以促进在工件114的结构上的聚合物膜生长或氟自由基刻蚀。在一些实施方式中,施加或提供到偏压电极的DC偏压为约50W到约150W。DC偏压可以被施加到工件114以使来自第二等离子体504的一些物质朝向工件114加速。
如图4所示,根据本公开的示例性方面,设备100可以包括气体输送系统150,该气体输送系统被配置为例如经由气体分配通道151或其它分配系统(例如,喷头)将工艺气体输送到等离子体腔室120。气体输送系统可以包括多条供气管线159。供气管线159可以使用阀门和/或质量流量控制器来控制,以将期望数量的气体作为工艺气体输送到等离子体腔室。气体输送系统150可以包括用于输送含氟气体(例如,CF4、NF3和/或SF6)的供气管线、用于输送聚合物形成气体(例如,H2或CH4)的供气管线、用于输送含氧气体(例如,O2)的供气管线和/或用于稀释气体或载气(例如,Ar、He、N2)的供气管线。控制阀和/或质量流量控制器158可以用于控制每条供气管线使工艺气体流入等离子体腔室120的流速。
图5描绘了与图4中的处理腔室相似的处理腔室600。更具体地,等离子体处理设备600包括处理腔室110和与处理腔室11隔开的等离子体腔室120。处理腔室110包括工件支撑体或基座112,其可操作以保持待处理工件114,例如半导体晶圆。在该示例性图示中,等离子体由感应耦合等离子体源135在等离子体腔室120(即,等离子体产生区域)中产生,并且期望的物质通过隔栅组件200从等离子体腔室120引导到衬底114的表面。
等离子体腔室120包括介电侧壁122和顶部124。介电侧壁122、顶部124和隔栅200限定等离子体腔室内部125。介电侧壁122可以由介电材料(例如石英和/或氧化铝)形成。感应耦合等离子体源135可以包括邻近围绕等离子体腔室120的介电侧壁122布置的感应线圈130。感应线圈130通过适当的匹配网络132耦合到RF功率发生器134。工艺气体(例如,惰性气体)可以从供气装置150和环形气体分配通道151或其他合适的气体引入机构提供到腔室内部。当利用来自RF功率发生器134的RF功率使感应线圈130供能时,可以在等离子体腔室120中产生等离子体。在特定实施方式中,等离子体处理设备100可以包括可选的接地的法拉第笼128,以减少感应线圈130到等离子体的电容耦合。
如图5所示,隔栅200将等离子体腔室120与处理腔室110隔开。隔栅200可以用于对等离子体腔室120中的等离子体产生的混合物进行离子过滤,以产生过滤后的混合物。过滤后的混合物可以暴露于处理腔室内的工件114。
在一些实施例中,隔栅200可以是多板隔栅。例如,隔栅200可以包括彼此平行地间隔开的第一栅板210和第二栅板220。第一栅板210和第二栅板220可以分开一段距离。
第一栅板210可以具有带多个孔的第一网格图案。第二栅板220可以具有带多个孔的第二网格图案。第一网格图案可以与第二网格图案相同或不同。带电粒子可以通过隔栅中每个栅板210、220的孔在其路径上在壁上重新组合。中性物质(例如,自由基)可以相对自由地流过第一栅板210和第二栅板220中的孔。每个栅板210和220的孔的大小和厚度可以影响带电粒子和中性粒子的透过性。
在一些实施方式中,第一栅板210可以由金属(例如,铝)或其他导电材料制成,和/或第二栅板220可以由导电材料或介电材料(例如,石英、陶瓷等)制成。在一些实施方式中,第一栅板210和/或第二栅板220可以由诸如硅或碳化硅之类的其他材料制成。如果栅板由金属或其他导电材料制成,则栅板可以接地。
图5的示例性等离子体处理设备600可操作以在等离子体腔室120中产生第一等离子体602(例如,远程等离子体)和在处理腔室110中产生第二等离子体604(例如,直接等离子体)。如图所示,等离子体处理设备600可以包括从与远程等离子体腔室120相关联的竖直侧壁122延伸的倾斜介电侧壁622。倾斜的介电侧壁622可以形成处理腔室110的一部分。
第二电感等离子体源635可以位于介电侧壁622附近。第二电感等离子体源635可以包括经由合适的匹配网络612耦合到RF发生器614的感应线圈610。在受RF能量供电时,感应线圈610可以由处理腔室110中的混合物产生直接等离子体604。法拉第笼628可以布置在感应线圈610和侧壁622之间。
工件支撑体112可以在标记为“V”的竖直方向上移动。例如,工件支撑体112可以包括竖直提升器616,该竖直提升器可以配置为调节工件支撑体112和隔栅组件200之间的距离。作为一个示例,工件支撑体112可以位于第一竖直位置,以便使用远程等离子体602进行处理。工件支撑体112可以处于第二竖直位置,以便使用直接等离子体604进行处理。第一竖直位置可以相对于第二竖直位置更靠近隔栅组件200。
图5的等离子体处理设备600包括在工件支撑体112中具有偏压电极510的偏压源。偏压电极510可以经由适当的匹配网络512耦合到RF功率发生器514。处理腔室110可以包括用于从处理腔室110排出气体的排气口716。
在一些实施例中,基座112被配置为使得可以将DC偏压施加到工件114。在一些实施例中,DC功率被施加到位于工件支撑体112中的偏压电极510。DC偏压可以被施加以产生电场,使得一些物质可以朝向工件114吸引和/或加速。通过对工件114施加DC偏压,可以控制一些离子物质的通量。这可以促进在工件114的结构上的聚合物膜生长或氟自由基刻蚀。在一些实施例中,施加或提供到偏压电极的DC偏压为约50W到约150W。DC偏压可以被施加到工件114以使来自第二等离子体604的一些物质朝向工件114加速。
如图5所示,根据本公开的示例性方面,设备100可以包括气体输送系统150,该气体输送系统被配置为例如经由气体分配通道151或其它分配系统(例如,喷头)将工艺气体输送到等离子体腔室120。气体输送系统可以包括多条供气管线159。供气管线159可以使用阀门和/或质量流量控制器来控制,以将期望数量的气体作为工艺气体输送到等离子体腔室。如图4所示,气体输送系统150可以包括用于输送含氟气体(例如,CF4、NF3和/或SF6)的供气管线、用于输送聚合物形成气体(例如,H2或CH4)的供气管线、用于输送含氧气体(例如,O2)的供气管线和/或用于稀释气体或载气(例如,Ar、He、N2)的供气管线。控制阀和/或质量流量控制器158可以用于控制每条供气管线使臭氧气体或工艺气体流入等离子体腔室120的流速。
在一些实施方式中,设备100可以包括控制器(未示出)。控制器可以配置为控制气体输送系统、感应元件和DC偏压来实施间隔物刻蚀工艺。控制器可以包括一个或多个处理器和一个或多个存储器设备。存储器设备可以存储计算机可读指令,当由一个或多个处理器进行时,该指令使得控制器控制设备500或600的方面以实施本文公开的任何方法。在一些实施例中,控制器被配置为控制气体输送系统、感应元件和DC偏压来实施间隔物开孔工艺。间隔物开孔工艺可以包括一些操作。所述操作可以包括允许工艺气体进入等离子体腔室中,所述工艺气体包括含氟气体和聚合物形成气体;向所述感应线圈提供RF功率以由工艺气体产生第一等离子体,从而产生第一混合物,所述第一混合物包括一种或多种第一物质;过滤所述一种或多种第一物质以产生过滤后的混合物;以及向偏压电极提供RF功率以在处理腔室中从过滤后的混合物中产生第二等离子体,从而产生第二混合物,所述第二混合物包括一种或多种第二物质。在一些实施例中,操作还包括向偏压电极提供DC偏压。
图6描绘了根据本公开的示例性实施例的示例性方法(300)的流程图。例如,方法(300)可以使用图4的等离子体处理设备100来实施。然而,在不偏离本公开的范围的情况下可以使用其它等离子体处理设备。图6描述了为了说明和讨论目的以特定顺序进行的步骤。本领域普通技术人员在使用本文提供的公开内容时会理解,在不偏离本公开的范围的情况下,可以对本文中描述的任何方法的各步骤进行适应性调整、省略、重新排列、重复、同时进行、扩展、使其包括未示出的步骤和/或以其他方式重新排列。
在(302),该方法包括将工件放置在等离子体处理设备的处理腔室中的工件支撑体上。处理腔室可以与等离子体腔室隔开(例如,通过隔栅组件隔开)。例如,该方法可以包括在图4的处理腔室110中将工件114放置在工件支撑体112上。工件可以包括至少一个间隔物材料层(例如SiN间隔物)和其上的至少一个结构。该结构可以是一个或多个芯轴结构(Si芯轴)(例如,参见图3)。
在(304)处,该方法可以包括进行间隔物刻蚀工艺或间隔物开孔工艺。间隔物刻蚀工艺可以将间隔物(例如,SiN间隔物)暴露于利用在等离子体腔室中产生的感应耦合等离子体或在处理腔室中产生的直接等离子体或两者而产生的物质。感应耦合等离子体或直接等离子体可以由包括含氟气体的工艺气体产生。感应耦合等离子体可以由包括聚合物形成气体(例如,CH4或H2)的工艺气体产生。可选地,工艺气体中可以包括O2气体。可选地,可以使用惰性气体作为用于工艺气体的稀释剂。
例如,可以经由供气装置150(图4)向等离子体腔室内部125提供工艺气体。可以使用等离子体源135在工艺气体中产生感应耦合等离子体。在感应耦合等离子体中产生的物质可以通过隔栅组件200以过滤物质中的离子。因此,通过隔栅组件的中性自由基被过滤以产生过滤后的混合物。
在一些实施方式中,隔栅组件200可以配置为以大于或等于约90%(例如大于或等于约95%)的效率过滤离子。离子过滤的百分比效率是指从混合物中去除的离子量相对于混合物中离子总数的百分比。例如,约90%的效率是指在过滤期间约90%的离子被去除。约95%的效率是指在过滤期间约95%的离子被去除。
在一些实施方式中,隔栅200可以是多板隔栅。多板隔栅可以具有多个平行的栅板。可以选择栅板中孔的布置和对准以提供期望的离子过滤效率,例如大于或等于约95%。
在一些实施例中,可以利用工件支撑体112中的偏压电极510由过滤后的混合物产生第二等离子体或直接等离子体。直接等离子体可以通过向偏压电极提供RF功率来产生。第二等离子体可以由通过本文所提供的隔栅的过滤后的混合物产生。在一些实施例中,经由偏压电极510将过滤后的混合物暴露于RF偏压会产生包含一种或多种第二物质的第二混合物。在一些实施例中,第二等离子体可以由提供给等离子体腔室125、通过隔栅200被过滤并进入处理腔室110的第二工艺气体产生。第二等离子体或直接等离子体可以由处理腔室内的第二工艺气体产生。经由偏压电极将第二工艺气体暴露于RF偏压会产生包含一种或多种第二物质的第二混合物。
在一些实施例中,将工件暴露于过滤后的混合物和/或第二混合物会导致垂直于衬底的间隔物材料层的至少一部分被去除。在一些实施例中,第二混合物可以包含一种或多种含有氟自由基的物质。当暴露于工件时,氟自由基可以刻蚀工件上的间隔物材料。例如,可以去除在芯轴顶部或覆盖芯轴顶部的间隔物材料部分。另外,可以去除覆盖衬底材料的间隔物材料部分。在一些实施方式中,在刻蚀工艺中不去除芯轴侧壁上的间隔物材料部分(参见图3)。
此外,在一些实施方式中,将工件暴露于第二混合物可以导致在工件上的结构的至少一部分上形成聚合物层或聚合物膜。第二混合物可以包含一种或多种第二物质,其包含氟自由基、烃物质和/或氢氟烃物质。在一些实施方式中,一种或多种第二物质可以包含CxHyFz物质,其中x大于或等于1且小于或等于10,其中y大于或等于1且小于或等于10,其中z大于或等于1且小于或等于10。将工件暴露于氢氟烃(CxHyFz)物质中可以导致在间隔物材料的横向侧壁结构上形成膜。在一些实施方式中,在间隔物材料的侧壁上形成聚合物层。在间隔物材料侧壁上形成聚合物层可以钝化侧壁并且防止在刻蚀工艺期间刻蚀侧壁(参见图3)。
因此,将其上具有至少一种结构的工件114暴露于第二混合物会导致在刻蚀间隔物材料的其它部分的同时在间隔物材料的一些表面上的聚合物沉积。因此,工件114可以暴露于第二混合物中的一种或多种物质,以刻蚀工件上的间隔物材料层的至少一部分并且在间隔物材料层的至少一部分上形成聚合物层。
在一些实施方式中,可以调节聚合物形成气体(例如CH4)的量以控制沉积在至少一种结构上的聚合物膜的量或厚度。例如,可以期望的是,根据间隔物材料的期望关键尺寸,调节添加到工艺气体中的聚合物形成气体的量,以便控制在结构的侧壁上形成的聚合物膜或层的量。例如,对于具有以紧密在一起的方式间隔开的芯轴结构的工件的刻蚀,可以减少添加到工艺气体中的聚合物形成气体的量,以便不使芯轴之间的空间过载。以这种方式,在间隔物材料上形成较薄的聚合物层,从而保护间隔物材料不受横向刻蚀的影响。更薄的聚合物层还确保芯轴结构之间的空间不会由于聚合物层而过载,因此反应性刻蚀剂物质仍然可以到达结构的底部,以便有效地刻蚀材料层的底部。此外,对于具有间隔较远的芯轴结构的工件的刻蚀,可增加添加到工艺气体中的聚合物形成气体的量,以便在间隔物材料的侧壁上形成较厚的聚合物层,以防止间隔物材料的不期望的刻蚀。
在一些实施方式中,在工件暴露于第二混合物期间,利用DC源将被给工件支撑体112中的偏压电极的DC偏压供应给工件。对工件施加DC偏压可以加速一些物质从第二混合物到达工件表面。例如,在一些实施方式中,对工件施加DC偏压可以导致使一些刻蚀剂物质(例如氟自由基刻蚀剂)加速到达工件表面,从而导致与一种或多种过滤后的混合物的流动竖直的间隔物材料层去除。在一些实施例中,对工件施加DC偏压可以导致使一些聚合物形成物质朝向工件表面加速,从而在工件上形成聚合物膜。
在一些实施方式中,可能有利的是,根据期望的刻蚀和聚合物形成速度,调节聚合物形成气体与含氟气体的比率。例如,在一些实施方式中,聚合物形成气体与含氟气体的比率可以为约2到5。在一些实施方式中,聚合物形成气体可以包含CH4并且含氟气体可以包含CF4,比率为约2.5至4.5。
在一些实施方式中,间隔物刻蚀工艺可以在工艺温度下进行。在一些实施方式中,间隔物刻蚀工艺具有约15℃至约35℃的工艺温度。在一些实施方式中,可以调节工艺温度以有助于适当的刻蚀至钝化平衡。例如,较高的温度往往会阻碍聚合(即,聚合物层的形成)。因此,在期望较低量的聚合物层并且需要较高量的刻蚀的实施方式中,可以提高工艺温度。在其它实施方式中,如果期望较高量的聚合物层并且需要较慢的刻蚀速度,则可以降低工艺温度。
在一些实施方式中,间隔物刻蚀工艺可以在工艺压力下进行。工艺压力可以为约4mT到约10mT。在一些实施例中,可以调节工艺压力以有助于适当的刻蚀至钝化平衡。例如,在期望更快速聚合的实施例中,可以增加工艺压力。此外,可以使用更高的工艺压力来实现有意的从上到下的CD加载以改变刻蚀轮廓。
在(306),该方法可以包括进行芯轴去除工艺。在不偏离本公开的范围的情况下,可以使用用于刻蚀芯轴的任何合适工艺。在一些实施例中,芯轴去除工艺可以使芯轴暴露于远程等离子体源中的等离子体产生的自由基,以去除硅芯轴。例如,在一些实施例中,可以使用含氟气体(例如,三氟化氮(NF3)或四氟化碳(CF4)或其他含氟碳氢化合物(例如CH2F2、CHF3、CH3F)来产生与远程ICP等离子体源相关联的高选择性物质,以去除硅芯轴,同时减少对间隔物和子层的损伤。
更具体地说,一种示例性芯轴去除工艺可以包括使用远程等离子体腔室中的感应耦合元件由工艺气体产生物质。该工艺可以包括引入含氟气体(例如,三氟化氮(NF3)或四氟化碳(CF4)或其它含氟碳氢化合物(例如CH2F2、CHF3、CH3F),与该物质产生混合物。该方法可以包括将工件的硅结构暴露于混合物,以去除硅芯轴的至少一部分。在一些实施例中,工艺气体还可以包括氢气(H2)。在一些其它实施例中,工艺气体还可以包括惰性气体,例如氦气(He)或氩气(Ar)。
在一些实施例中,工艺气体可以包括惰性气体。惰性气体可以被允许进入等离子体腔室。含氟气体(例如,NF3或CF4或其他含氟碳氢化合物(例如,CH2F2、CHF3、CH3F))可以在等离子体腔室外部和等离子体腔室下游流动位置处注入。例如,含氟气体(例如,NF3或CF4或其它含氟碳氢化合物(例如,CH2F2、CHF3、CH3F))可以经由后等离子体气体注入源注入。例如,后等离子体气体注入源可以位于可以将等离子体腔室与处理腔室隔开的隔栅的第一栅板和第二栅板之间。在一些实施例中,后等离子体气体注入源可以位于处理腔室中的隔栅下方。
在图6的(308)处,该方法可以包括从处理腔室取出工件。在不偏离本公开的范围的情况下,可以在将工件从处理腔室取出之前进行另外的工艺步骤(例如,将间隔物转移到底层衬底)。
图7示出了根据本公开的示例性方面的示例性间隔物刻蚀工艺(700)。
在(702)处,该工艺包括将工件放置在处理腔室中。该方法可以包括将工件114放置在等离子体处理设备的处理腔室110中的工件支撑体112上。处理腔室可以与等离子体腔室隔开(例如,通过隔栅组件)。例如,该方法可以包括将工件114放置在图4的处理腔室110中的工件支撑体/基座112上。工件可以包括至少一个间隔物材料层(例如,SiN间隔物)和其上的至少一种结构。该结构可以是一个或多个芯轴结构(Si芯轴)(例如,参见图3)。
在(704)处,所述工艺包括允许工艺气体进入等离子体腔室中。可以经由气体输送系统125将气体引入等离子体腔室150中。气体输送系统可以配置为将含有含氟气体和/或聚合物形成气体的工艺气体输送至等离子体腔室125的内部。气体输送系统可以配置为向等离子体腔室内部提供含有含氧气体或载气的工艺气体。在一些实施例中,含氟气体包括四氟化碳(CF4)、三氟化氮(NF3)、六氟化硫(SF6)及其混合物或组合。在一些实施方式中,聚合物形成气体包括甲烷(CH4)、氢气(H2)及其混合物或组合。在一些实施方式中,载气包括氩气(Ar)、氦气(He)、氮气(N2)及其混合物或组合。在一些实施方式中,含氧气体可以包括氧气(O2)。
在(706)处,该工艺包括向感应线圈130提供RF功率以产生一种或多种第一物质。在一些实施例中,可以经由RF功率发生器134向感应耦合等离子体源135提供RF功率,以在等离子体腔室125的内部产生等离子体。感应耦合等离子体源135可以包括布置在等离子体腔室125的介电侧壁122附近的感应线圈130。当利用RF能量使感应线圈130供能时,可以在等离子体腔室125的内部由工艺气体产生第一等离子体。第一等离子体可以包含第一混合物,其中第一混合物包含一种或多种第一物质。一种或多种第一物质可以包含氟自由基和/或烃自由基。
在(708)处,所述工艺包括过滤所述一种或多种第一物质以产生过滤后的混合物。在第一等离子体中产生的一种或多种第一物质可以经由隔栅200过滤以过滤物质中的离子。中性自由基可以通过隔栅200进入处理腔室110的内部。
在一些实施方式中,隔栅组件200可以配置为以大于或等于约90%(例如大于或等于约95%)的效率过滤离子。离子过滤的百分比效率是指从混合物中去除的离子量相对于混合物中离子总数的百分比。例如,约90%的效率是指在过滤期间约90%的离子被去除。约95%的效率是指在过滤期间约95%的离子被去除。
在一些实施例中,隔栅200可以是多板隔栅。多板隔栅可以具有多个平行的隔栅板。可以选择栅板中孔的布置和对准以提供期望的离子过滤效率,例如大于或等于约95%。
在(710)处,该工艺包括向偏压电极510提供RF功率以产生第二混合物。在一些实施方式中,通过合适的匹配网络512经由RF功率发生器514向偏压电极510提供RF功率。当利用RF能量使偏压电极510供能时,可以由处理腔室110中的过滤后的混合物产生第二等离子体504,用于直接暴露于工件114。例如,在一些实施方式中,过滤后的混合物可以用于产生包含一种或多种第二物质的第二等离子体。一种或多种第二物质可以包含氟自由基、烃自由基、氢氟烃自由基、氢自由基或其组合。在一些实施例中,所述第二混合物的一种或多种第二物质可以包含CxHyFz,其中x大于或等于1且小于或等于10,其中y大于或等于1且小于或等于10,其中z大于或等于1且小于或等于10。
在(712)处,该方法包括向偏压电极510提供DC偏压。在一些实施例中,工件支撑体112被配置为使得能够将DC偏压施加到偏压电极510。DC偏压可以被施加以产生电场,使得来自第二混合物的一些物质可以被吸引到工件114和/或朝向工件114加速。因此,通过对工件114施加DC偏压,可以控制一些离子物质的通量。这可以促进在工件114的结构上的聚合物膜生长或氟自由基刻蚀。在一些实施例中,施加或提供到偏压电极的DC偏压为约50W到约150W。DC偏压可以被施加到工件114以使来自第二等离子体504的一些物质朝向工件114加速。在一些实施方式中,向偏压电极510提供DC偏压是可选的。
在(714)处,该工艺包括将工件暴露于第二混合物。在一些实施方式中,将工件114暴露于第二混合物中会从工件刻蚀或去除间隔物层的至少一部分,并且在工件上间隔物层的至少一部分上形成聚合物层。
在一些实施方式中,将工件暴露于第二混合物会导致竖直于工件的间隔物材料层的至少一部分被去除。例如,当暴露于工件时,存在于第二混合物中的一些氟自由基可以从工件刻蚀间隔物材料。例如,可以去除在芯轴顶部或覆盖芯轴顶部的间隔物材料部分。另外,可以去除覆盖衬底材料的间隔物材料部分。在一些实施方式中,在刻蚀工艺中不去除芯轴侧壁上的间隔物材料部分(参见图3)。
此外,在一些实施方式中,将工件暴露于第二混合物可以导致在工件上的结构的至少一部分上形成聚合物层或聚合物膜。在一些实施方式中,第二混合物可以包含一种或多种第二物质,其包含氟自由基、烃物质和/或氢氟烃物质。在一些实施方式中,一种或多种第二物质可以包含CxHyFz物质,其中x大于或等于1且小于或等于10,其中y大于或等于1且小于或等于10,其中z大于或等于1且小于或等于10。将工件暴露于氢氟烃(CxHyFz)物质中可以导致在间隔物材料的横向侧壁结构上形成膜。在一些实施方式中,在间隔物材料的侧壁上形成聚合物层。在间隔物材料侧壁上形成聚合物层可以钝化侧壁并且防止在刻蚀工艺期间刻蚀侧壁(参见图3)。
因此,将其上具有至少一种结构的工件114暴露于第二混合物会导致在刻蚀间隔物材料的其它部分的同时在间隔物材料的一些表面上的聚合物沉积。因此,工件114可以暴露于第二混合物中的一种或多种物质,以刻蚀工件上的间隔物材料层的至少一部分并且在间隔物材料层的至少一部分上形成聚合物层。
下面提供间隔物刻蚀工艺的示例性工艺参数:
工艺气体:CF4、CH4
稀释气体:Ar
工艺压力:约300至600mT
感应耦合等离子体电源:约600至约1000W(例如约900W)
偏压源功率:约50至约120W(例如,约100W)
工件温度:约15℃至约35℃(例如,约20℃)
工艺周期:约40至80秒(例如,约60秒)
工艺气体的气体流速:
工艺气体:10至80sccm CF4(例如,约15sccm);40至70sccm CH4(例如,约65sccm)
稀释气体:160至230sccm Ar(例如,约225sccm)。
下面提供根据本公开的示例性实施例的使用感应耦合等离子体源的芯轴去除工艺的示例性工艺参数:
工艺气体:CF4、N2、H2
稀释气体:He/Ar
工艺压力:约200mTorr至约1000mTorr(例如,约300mTorr)
感应耦合等离子体源功率:约600W至2500W(例如,约2500W)
偏置电源功率:约0W至约150W(例如,约0W)
工件温度:(20℃)约5℃至90℃(例如,约20℃)
工艺周期:约10秒至200秒(例如,75秒)
工艺气体的气体流速:约50sccm至500sccm(例如,350sccm)。
虽然已经详细描述了本主题的具体示例性实施例,但是应该理解,本领域技术人员在了解前述内容后能够容易地得到这些实施例的修改、改变和等同物。因此,本公开的范围是示例性而非限制性的,并且本公开不排除包括对本领域普通技术人员显而易见的对本主题的修改、改变和/或增加。

Claims (17)

1.一种用于在等离子体处理设备中处理工件的方法,所述等离子体处理设备包括具有可操作以容纳工艺气体的内部的等离子体腔室和具有可操作以支撑工件的工件支撑体的处理腔室,其中,所述工件包括至少一个间隔物层和至少一种芯轴结构,并且其中所述等离子体处理设备包括围绕所述等离子体腔室布置的感应线圈和布置在所述工件支撑体中的偏压电极,所述方法包括:
将所述工件放置在所述处理腔室中的所述工件支撑体上;
允许工艺气体进入所述等离子体腔室中,所述工艺气体包含含氟气体和聚合物形成气体;
向所述感应线圈提供射频功率以由所述工艺气体产生第一等离子体,从而产生第一混合物,所述第一混合物包括一种或多种第一物质;
过滤所述一种或多种第一物质以产生过滤后的混合物;
向所述偏压电极提供射频功率以在所述处理腔室中在所述过滤后的混合物中产生第二等离子体,从而产生第二混合物,所述第二混合物包括一种或多种第二物质;以及
将所述工件暴露于所述第二混合物,以刻蚀所述工件的至少一个间隔物层的至少一部分,并且在所述至少一个间隔物层的至少一部分上形成聚合物层。
2.如权利要求1所述的方法,其中,所述含氟气体包含CF4、NF3、SF6
3.如权利要求1所述的方法,其中,所述聚合物形成气体包含CH4、CH3F或H2
4.如权利要求1所述的方法,其中,所述工艺气体还包含稀释气体,其中所述稀释气体包含Ar、He、N2、O2及它们的混合物。
5.如权利要求1所述的方法,其中,所述第二混合物包含CxHyFz,其中x大于或等于1且小于或等于10,其中y大于或等于1且小于或等于10,其中z大于或等于1且小于或等于10。
6.如权利要求1所述的方法,其中,将所述工件暴露于所述第二混合物会在所述至少一个间隔物层上形成聚合物层。
7.如权利要求1所述的方法,还包括向所述偏压电极提供直流偏压。
8.如权利要求7所述的方法,其中,向所述偏压电极提供直流偏压使所述一种或多种物质从所述第二混合物向所述工件加速。
9.如权利要求7所述的方法,其中,向所述偏压电极提供的直流偏压为约50W至约150W。
10.如权利要求1所述的方法,其中,过滤所述一种或多种物质以产生过滤后的混合物包括:通过将所述等离子体腔室与所述处理腔室隔开的隔栅过滤所述一种或多种物质。
11.如权利要求1所述的方法,其中,将所述工件暴露于所述第二混合物在工艺压力下进行,其中,所述工艺压力为约4mT至约10mT。
12.如权利要求1所述的方法,其中,将所述工件暴露于所述第二混合物在工艺温度下进行,其中,所述工艺温度为约15℃至约35℃。
13.如权利要求1所述的方法,其中,所述聚合物形成气体包含CH4
14.如权利要求13所述的方法,其中,所述方法包括增加所述工艺气体中的CH4的量以增加至少间隔物层上的聚合物层的量。
15.如权利要求1所述的方法,其中,所述工艺气体包含CH4和CF4,其中CH4与CF4之比为约2.5至4.5。
16.一种等离子体处理设备,包括:
等离子体腔室,具有可操作以容纳工艺气体的内部;
处理腔室,具有可操作以支撑工件的工件支撑体,其中,在所述工件支撑体中布置有偏压电极;
隔栅,将所述等离子体腔室与所述处理腔室隔开;
气体输送系统,可操作以使含氟气体流动;
感应元件,可操作以在所述等离子体腔室中诱导等离子体;
偏压源,配置用于向所述偏压电极提供直流功率和射频功率;以及
控制器,配置用于控制所述气体输送系统、所述感应元件和所述偏压源,以实施间隔物开孔工艺,所述间隔物开孔工艺包括操作,所述操作包括:
允许工艺气体进入所述等离子体腔室中,所述工艺气体包含含氟气体和聚合物形成气体;
向所述感应线圈提供射频功率以由所述工艺气体产生第一等离子体,从而产生第一混合物,所述第一混合物包括一种或多种第一物质;
过滤所述一种或多种第一物质以产生过滤后的混合物;和
向所述偏压电极提供射频功率以在所述处理腔室中的所述过滤后的混合物中产生第二等离子体,从而产生第二混合物,所述第二混合物包括一种或多种第二物质。
17.如权利要求16所述的设备,其中,所述操作包括向所述偏压电极提供直流偏压。
CN202080005249.5A 2019-08-30 2020-08-25 间隔物刻蚀工艺 Active CN112771650B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962894092P 2019-08-30 2019-08-30
US62/894,092 2019-08-30
PCT/US2020/047725 WO2021041366A1 (en) 2019-08-30 2020-08-25 Spacer etching process

Publications (2)

Publication Number Publication Date
CN112771650A true CN112771650A (zh) 2021-05-07
CN112771650B CN112771650B (zh) 2022-06-24

Family

ID=74679168

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080005249.5A Active CN112771650B (zh) 2019-08-30 2020-08-25 间隔物刻蚀工艺

Country Status (4)

Country Link
US (1) US11276560B2 (zh)
CN (1) CN112771650B (zh)
TW (1) TW202125568A (zh)
WO (1) WO2021041366A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113471049A (zh) * 2021-06-30 2021-10-01 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220359201A1 (en) * 2021-05-06 2022-11-10 Applied Materials, Inc. Spacer patterning process with flat top profile

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140179109A1 (en) * 2010-01-15 2014-06-26 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20150262869A1 (en) * 2014-03-11 2015-09-17 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
CN105895510A (zh) * 2015-02-13 2016-08-24 台湾积体电路制造股份有限公司 形成半导体装置的制造方法与图案化方法
US20180240667A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US20190198301A1 (en) * 2017-12-27 2019-06-27 Mattson Technology, Inc. Plasma Processing Apparatus and Methods
CN109997211A (zh) * 2016-09-20 2019-07-09 东京毅力科创株式会社 用于自对准多重图案化技术的间隙壁形成

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9741566B2 (en) * 2015-03-30 2017-08-22 Applied Materials, Inc. Methods for manufacturing a spacer with desired profile in an advanced patterning process
FR3044463B1 (fr) 2015-11-26 2017-12-15 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9881794B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10269576B1 (en) * 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140179109A1 (en) * 2010-01-15 2014-06-26 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20150262869A1 (en) * 2014-03-11 2015-09-17 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
CN105895510A (zh) * 2015-02-13 2016-08-24 台湾积体电路制造股份有限公司 形成半导体装置的制造方法与图案化方法
CN109997211A (zh) * 2016-09-20 2019-07-09 东京毅力科创株式会社 用于自对准多重图案化技术的间隙壁形成
US20180240667A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US20190198301A1 (en) * 2017-12-27 2019-06-27 Mattson Technology, Inc. Plasma Processing Apparatus and Methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113471049A (zh) * 2021-06-30 2021-10-01 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件

Also Published As

Publication number Publication date
CN112771650B (zh) 2022-06-24
TW202125568A (zh) 2021-07-01
US20210066047A1 (en) 2021-03-04
US11276560B2 (en) 2022-03-15
WO2021041366A1 (en) 2021-03-04

Similar Documents

Publication Publication Date Title
KR102386268B1 (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
US20220181162A1 (en) Etching apparatus
US10424487B2 (en) Atomic layer etching processes
CN112771650B (zh) 间隔物刻蚀工艺
TW201705273A (zh) 蝕刻有機膜之方法
TW201530648A (zh) 乾式蝕刻方法
US11462413B2 (en) Processing of workpieces using deposition process and etch process
WO2019169009A1 (en) Systems and methods to form airgaps
US11387115B2 (en) Silicon mandrel etch after native oxide punch-through
JP2023159347A (ja) 基板処理方法および基板処理装置
US11195718B2 (en) Spacer open process by dual plasma
CN112771649B (zh) 用于使用氟自由基处理工件的方法
CN115461846A (zh) 使用氟碳等离子体的工件的加工
JP4128365B2 (ja) エッチング方法及びエッチング装置
CN112470253A (zh) 提高远程等离子体产生的氧化膜的质量的表面预处理工艺
US11107695B2 (en) Surface smoothing of workpieces
WO2022220224A1 (ja) エッチング方法及びプラズマ処理装置
CN115039209A (zh) 用于硬掩模去除的系统和方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant