TW202125568A - 間隔物蝕刻製程 - Google Patents

間隔物蝕刻製程 Download PDF

Info

Publication number
TW202125568A
TW202125568A TW109128956A TW109128956A TW202125568A TW 202125568 A TW202125568 A TW 202125568A TW 109128956 A TW109128956 A TW 109128956A TW 109128956 A TW109128956 A TW 109128956A TW 202125568 A TW202125568 A TW 202125568A
Authority
TW
Taiwan
Prior art keywords
workpiece
plasma
gas
mixture
processing
Prior art date
Application number
TW109128956A
Other languages
English (en)
Inventor
采文 宋
春 顏
麥克X 楊
Original Assignee
美商得昇科技股份有限公司
大陸商北京屹唐半導體科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商得昇科技股份有限公司, 大陸商北京屹唐半導體科技有限公司 filed Critical 美商得昇科技股份有限公司
Publication of TW202125568A publication Critical patent/TW202125568A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提出用於處理一工件的方法。一示例中,一方法包括將一工件放在一處理室內的一工件支架上。該工件具有至少一材料層以及至少一構造在其上。該方法包括讓一處理氣體放入一電漿室,從該處理氣體生成一或多個物種,並且過濾該等一或多個物種以製造一已過濾混合物。該方法進一步包括提供射頻電力給一偏壓電極以生成一第二混合物,並將該工件曝露至該第二混合物以蝕刻至少一部分該材料層並在至少一部分的該材料層上形成一薄膜。

Description

間隔物蝕刻製程
【優先權主張】
本申請案主張依2019年8月30日列案之美國臨時專利申請案號62/894,092的優先權,其案名為「間隔物蝕刻製程」(SPACER ETCHING PROCESS),該文件納入本文列為參考用於所有目的。
本發明一般而言是關於工件(例如像是一半導體工件)的處理製程。
半導體工件處理加工可涉及不同材質層次在一基板上的沉積以及移除。在半導體處理加工期間裝置尺度以及材質厚度持續減少,同時半導體裝置的臨界尺度一直縮減。在先進裝置節點中,對其他材料具高度選擇性之材料移除對於半導體裝置的性能會變得越來越重要。自對準多重圖案成形技術(例如,自對準雙重圖案成形(SADP),自對準四重圖案成形(SAQP))可用傳統光刻微影工具解析度達成縮減的特徵尺寸。
本案之具體實施例的觀點及優點將有部分在以下描述中闡明,或可從具體實施例的描述習得,或可經由實行該等具體實施例而學會。
本發明的一示例觀點係關於一種用來處理一工件的方法。該工件可包括至少一間隔物層以及至少一心軸構造。該方法可包括一電漿裝 置,其包含一電漿室具有一內部可用於接收一處理氣體,以及一處理室具有一工件支架可用於支撐一工件。該電漿處理裝置可進一步包括一感應線圈置於電漿室附近,以及一偏壓電極置入該工件支架。該方法包括將工件放在處理室內的該工件支架上。該方法包括在該電漿室內放入一處理氣體,該處理氣體包括一含氟氣體以及一聚合物成形氣體。該方法包括提供射頻電力至該感應線圈,以從該處理氣體生成一第一電漿以生成一第一混合物。該第一混合物包括一或多個第一物種。該方法包括過濾該等一或多個第一物種,以製成一已過濾混合物。該方法包括提供射頻電力至該偏壓電極,以在處理室內的該已過濾混合物中生成一第二電漿,以生成一第二混合物,該第二混合物包含一或多個第二物種。該方法包括曝露工件至該第二混合物,以蝕刻該工件至少一部分的至少一間隔物層,並且在至少一部分的該至少一間隔物層上形成一聚合物層。
本發明另一示例觀點是關於一電漿處理裝置。該電漿處理裝置包括一電漿室具有一內部可用於接收一處理氣體;一處理室具有一工件支架可用於支撐一工件,其中一偏壓電極係置於該工件支架內;一分離網格將該電漿室與該處理室分開;一氣體傳送系統可用於一含氟氣體的流動;一感應元件可用於在電漿室中誘發一電漿;一偏壓源經配置以提供直流電力及射頻電力至該偏壓電極;以及一控制器經配置以控制該氣體傳送系統、感應元件以及該偏壓元件,以實施一間隔物打開製程。間隔物打開製程可包括多項操作。該等操作可包括在該電漿室中引入一處理氣體,該處理氣體包含一含氟氣體以及一聚合物成形氣體;提供射頻電力至該感應線圈以從該處理氣體生成一第一電漿以生成一第一混合物,該第一混合物 包含一或多個第一物種;過濾該等一或多個第一物種以製成一已過濾混合物;以及提供射頻電力至該偏壓電極以在處理室內的已過濾氣體中製成第二混合物,該第二混合物包含一或多個第二物種。
參照以下描述以及隨附申請項,將能更加瞭解各種具體實施例的這些以及其他特徵、觀點及優勢。納入本文並構成本說明書一部分的隨附圖式,描繪出本案的具體實施例,並與詳細描述共同用來解釋相關原理。
10:工件
11:基板層
12:心軸構造
14:間隔物
15:間隔物打開蝕刻製程
16:聚合物薄膜
20:間隔物蝕刻製程
22:心軸移除製程
110:處理室
112:工件支架
114:工件
120:電漿室
122:介電質側壁
124:天花板
125:電漿室內部
128:法拉第屏蔽
130:感應線圈
132:匹配網路
134:射頻電力產生器
135:感應耦合電漿源
150:氣體供應器/氣體傳送系統
151:環狀氣體分配通道
158:控制閥
159:饋入氣體管線
200:分離網格組件
210:第一網格板
220:第二網格板
300:方法
302:步驟
304:步驟
306:步驟
308:步驟
500:電漿處理裝置
502:第一電漿
504:第二電漿
510:偏壓電極
512:匹配網路
514:射頻電力產生器
516:排氣埠
600:電漿處理裝置
602:第一電漿
604:第二電漿
610:感應線圈
612:匹配網路
614:射頻產生器
616:垂直升降器
622:傾斜介電質側壁
628:法拉第屏蔽
635:感應式電漿源
700:間隔物蝕刻製程
702:步驟
704:步驟
706:步驟
708:步驟
710:步驟
712:步驟
714:步驟
針對本技術領域中具一般能力者的具體實施例之詳細討論,將在本說明書中參照附屬圖式提出,其中:
第一A圖描繪的是置於一工件上要接受一間隔物蝕刻製程的一間隔物構造;
第一B圖描繪的是置於一工件上要接受一間隔物蝕刻製程的一間隔物構造;
第二A圖描繪的是置於一工件上要接受本案一示例間隔物蝕刻製程的一間隔物構造;
第二B圖描繪的是置於一工件上要接受本案一示例間隔物蝕刻製程的一間隔物構造;
第三圖描繪的是置於一工件上要接受本案一示例間隔物蝕刻製程的一間隔物構造;
第四圖描繪的是依據本案一示範具體實施例的一示例電漿處理裝置;
第五圖描繪的是依據本案一示範具體實施例的一示例電漿處理裝置;
第六圖繪出的流程圖係依據本案之示範具體實施例的一示例方法;以及
第七圖繪出的流程圖係依據本案之示範具體實施例的一示例方法。
現在將詳細參照具體實施例,其一或多個示範例已在圖式中繪出。所提出各示範例是要解釋該等具體實施例,並非要做為本案的限制。事實上,熟悉本領域的人士應能輕易看出,可對該等具體實施例做出各種修飾及變化而不會偏離本案的範疇與精神。舉例來說,繪出或描述為一具體實施例之某部分的特徵,可配合另一具體實施使用,以產出又更進一步的具體實施例。因此,本案的觀點是要涵括此等修飾及變化。
本案的示例觀點係關於用於在工件上實施自對齊多重圖案成形(例如像是自對齊雙重圖案成形(SADP)、自對齊四重圖案成形(SAQP))的製程。半導體裝置中的裝置尺度及材質厚度持續減小而臨界尺度益漸縮減。製造先進邏輯和記憶體(例如,動態隨機存取記憶體,DRAM)裝置,一種所謂的自對齊多重圖案成形技術,會是以傳統光刻工具解析度顯著減少特徵尺寸的一種省錢做法。一示例SADP製程流程中,一心軸構造係在一基板上成形。一間隔物層(例如,SiN層)係使用一沉積製程(例如,原子層沉積製程)覆在該心軸構造上形成。該間隔物係在其頂部及底部開口,以形成一間隔物配對構造而具有心軸(例如,矽)材質介 於其間。接下來,該心軸材質係被選擇性移除而間隔物構造保持完整。間隔物構造進一步充當隨後異向性離子間隔物蝕刻製程的硬遮罩,以將其圖樣轉移至其下方材質。另一製程流程的示範例中,可在所謂自對齊四重圖案成形(SAQP)方案當中重覆一自對齊雙重圖案成形(SADP)方案。
自對齊多重圖案形成製程會造成多項挑戰。舉例來說,殘留的間隔物材質會造成微遮罩效應,導致隨後蝕刻步驟期間心軸殘留物還留著。使用來自(例如像是)一遠端電漿源的純反應性離子蝕刻或自由基蝕刻會減少間隔物臨界尺度,這會減少準確圖案轉移。在該構造底部的氧化物耗損(例如,SiO2)會造成隨後圖案轉移步驟的問題,此係由於不平均的氧化物需要移除。沉積-蝕刻循環脈衝已被用在嘗試保留間隔物臨界尺度(CD)。然而由於由上而下的裝載,這會造成不希望得到的反向梯形。進一步,蝕刻圖案中常見的低密度/高密度微負載效應會難以單由蝕刻製程控制。
依據本案的示例觀點,一種用於處理一工件的方法(例如,作為自對齊多重圖案形成製程的一部分)可包括例如像是一間隔物蝕刻製程。該間隔物蝕刻製程可包括在一電漿處理裝置的一電漿室內從一處理氣體生成一或多個物種。該等一或多個物種可從一感應耦合電漿以及/或一電容耦合電漿生成。該等一或多個物種可經過濾(例如,使用一分離網格)以製造一已過濾混合物。射頻能量可被施加至一偏壓電極以生成一直接電漿,其包含曝露至該工件的一或多個第二物種。處理氣體可包括一含氟氣體,例如像是CF4、NF3以及/或SF6,還有一聚合物成形氣體,例如像是CH4、CH3F或H2。稀釋劑氣體或載劑氣體,例如像是Ar、He、N2或其他氣體例如像是O2,可被加入該處理氣體。舉例來說,在某些具體實施例中該處理氣 體可包括一或多個稀釋劑氣體選自於Ar、He或N2
間隔物蝕刻製程期間,可在該間隔物構造上從該物種混合物當中存在的某些物種形成一聚合物層。在某些具體實施例中,一聚合物層可在該間隔物構造的側壁上形成,因此發揮如同一蝕刻抑制物的作用,並屏蔽該間隔物構造的側壁不受蝕刻劑物種影響。間隔物蝕刻製程期間,直流偏壓被加至該工件支架內的偏壓電極。該直流偏壓可加速蝕刻物種朝向工件,因此促進工件垂直區域相較於工件側邊區域(例如,間隔物材質的側壁)更快的蝕刻速率。直流偏壓可加速某些聚合物形成物種朝向該工件,因此促進在工件上的聚合物沉積。聚合物薄膜加至間隔物構造的側壁會增加該構造的蝕刻異向性。
間隔物蝕刻製程可繼續或反覆直到間隔物材質已被充分蝕刻(例如,已被打開)且心軸材質已經曝露在外。一旦心軸材質曝露出來,可實施一心軸移除製程以移除該心軸,留下間隔物用於將一圖案轉移至底下的材質。
本發明的示例觀點提供許多技術成果及效益。舉例來說,本文提供的該等方法可納入反應離子蝕刻以及處理氣體比值,由於側向蝕刻抑制及槽底沉積,得以高異向性且選擇性地有效蝕刻間隔物材質。進一步,處理氣體比值可經調整以控制CD負載用於具有不同節距的間隔物。此外,依據本案示例觀點的間隔物蝕刻製程可被蝕刻設備使用,例如像是電漿處理裝置,不需脈衝能力並且不需在兩不同沉積與蝕刻步驟循環之間切換,因此減少製程時間。
本案的觀點係參照一「工件」討論。工件可包括一半導體晶 圓以供舉例及討論目的所用。本技術領域中具一般能力者,使用本文所提供的揭示,應能理解本案的示例觀點可與任何工件(例如,半導體基板或其他適當基板)配合使用。此外,「大約」一詞與一數值合用指的是在所提出數值的百分之二十(20%)之內。
本文中所謂「遠端電漿」,指的是遠離一工件所生成的電漿,例如像是在由一分離網格與一工件分開的電漿室內。本文中所謂「直接電漿」,是指直接曝露至一工件的電漿,例如像是在具有一工件支架用來支撐該工件的處理室內所生成的電漿。
第一A及一B圖是一示例間隔物打開蝕刻製程(15)。更明確地說,第一A及一B圖顯示一工件(10)在其上具有一示例間隔物輪廓。工件(10)包括一基板(11)、間隔物材質(14)以及至少一心軸(12)。如第一A圖所示,覆蓋心軸(12)的間隔物材質(14)之間有相當份量的間隔。第一A圖中,心軸(12)之間的間隔要小得多,前題在於心軸(12)係在基板上配置得比較靠近(例如,較小節距)。若曝露於一間隔物打開蝕刻製程(15),考慮到第一A圖之心軸之間的間隔較小,該間隔可保持在該蝕刻製程(15)以後實質上不變。因此,雖然間隔物材質(14)可能會有一些材料耗損在該間隔內側邊,較小間隔避免某些蝕刻劑側向接觸間隔物材質。
然而,具有較遠相離間隔之心軸的間隔物構造(例如,較大節距)可能並非如此狀況。舉例來說,第一B圖描繪一間隔物輪廓其具有分得比較開的心軸(12)及間隔物材質(14),因此相較於第一A圖在心軸(12)之間形成較大的間隔。第一B圖的工件(10)曝露至一間隔物打開製程(15),導致間隔物材質(14)側向耗損,其可減少該間隔物材質(14)的臨界尺度(CD), 意即可減少基板(11)上所留間隔物物材質(14)的寬度。間隔物材質(14)之臨界尺寸的減少可能是不希望得到的,因為這會影響圖案轉移以及隨後的蝕刻製程。
依據本案示例觀點的方法可致間隔物側壁材質保存,因而減少或避免間隔物材質之臨界尺寸有任何改變。例例來說,第二A及二B圖描繪依據本案之示例觀點的間隔物蝕刻製程(20)。如圖中所示,工件(10)包括一基板(11)、間隔物材質(14)以及至少一心軸(12)。第二A圖中,心軸(12)之間的間隔要比第二B圖中所示心軸之間的間隔更小。第二B圖之工件10曝露至依據本案示例觀點之間隔物蝕刻製程(20),避免間隔物材質(14)側向耗損。因此,間隔物材質的臨界尺寸可以保持。因此,間隔物材質(14)的耗損可使用本文所描述(多個)方法避免,不論工件上的圖案密度。
第三圖繪出的係依據本案之示範具體實施例的一示例間隔物蝕刻方法(20)之概況。舉例來說,工件可包括至少一構造,其可包括一圖案化構造具有一或多個心軸構造(12)(例如,矽心軸)置於一基板層(11)上。該等一或多個心軸構造可具有一或多個側壁。該基板層(11)可以是一半導體層(例如,Si、SiGe)以及/或一介電層(例如,SiO2)。一間隔物層(14)可被置於心軸構造(12)之上,包括心軸構造(12)的側壁。舉例來說,該間隔物層可以是一SiN間隔物層。
工件(10)可被曝露至一間隔物蝕刻製程(20)以至少部分移除一部分的間隔物(14)。工件(10)可依所願曝露至該間隔物蝕刻製程(20),直到一足夠份量的間隔物材質(14)已被移除以曝露出該心軸構造(12)。可實施一心軸移除製程(22)以移除該心軸構造並將間隔物(14)留在基板層(11)上。 更進一步,如第三圖所示,在蝕刻過程(20)期間,聚合物薄膜(16)可被側向形成在該間隔物材質(14)的側壁上。的確,此聚合物薄膜(16)可在蝕刻製程期間鈍化間隔物材質(14)的側向側壁,因而避免蝕刻劑物種側向地移除隔物材質(14)的側壁。
第四圖描繪的是一示例電漿處理裝置(500),其可被用來實施依據本案一示範具體實施例的製程。更明確地說,電漿處理裝置(500)包括一處理室(110),以及與該處理室(110)分開的一電漿室(120)。處理室(110)包括一工件支架或台座(112)可用於固定要被處理的一工件(114),例如像是一半導體晶圓。在此示例圖解中,一電漿是藉由一感應耦合電漿源(135)在電漿室(120)(即,電漿生成區域)中生成,且期望的物種係由從該電漿室(120)穿過一分離網格組件(200)而引導至工件(114)表面。
電漿室(120)包括一介電質側壁(122)以及一天花板(124)。介電質側壁(122)、天花板(124)以及分離網格(200)定義一電漿室內部(125)。介電質側壁(122)可由一介電材料形成,例如像是石英及/或氧化鋁。該感應耦合電漿源(135)可包括一感應線圈(130)緊鄰該介電質側壁(122)環繞該電漿室(120)放置。該感應線圈(130)係透過一合適的匹配網路(132)耦合至一射頻電力產生器(134)。處理氣體可從氣體供應器(150)以及環狀氣體分配通道(151)、或其他合適的氣體引入機構,而被提供至腔室內部。若感應線圈(130)係以從該射頻電力產生器(134)而來的射頻電力供電,一電漿(502)可在該電漿室(120)內生成。一特定具體實施例中,電漿處理裝置(500)可包括一選用的接地法拉第屏蔽(128),以減低感應線圈(130)至電漿(502)的電容式耦合。
如第四圖所示,該分離網格(200)將電漿室(120)與處理室 (110)分開。分離網格(200)可被用來實施離子過濾從電漿室(120)內電漿生成的一混合物,以生成一已過濾混合物。已過濾混合物可被曝露至處理室(110)的內部。
在某些具體實施例中,分離網格(200)可以是一多片分離網格。舉例來說,分離網格(200)可包括一第一網格板(210)以及一第二網格板(220),彼此以平行關係相隔分開。第一網格板(210)和第二網格板(220)可分開一距離。
第一網格板(210)可具有一第一網格圖案,其具有複數個孔洞。第二網格板(220)可具有一第二網格圖案,其具有複數個孔洞。第一網格圖案可和第二網格圖案相同或不同。帶電粒子可在它們穿過分離網格中各個網格板(210)、(220)之孔洞的路徑上再結合。中性物種(例如,自由基)可相對自由地流動穿過第一網格板(210)和第二網格板(220)中的孔洞。孔洞尺寸以及各網格板(210)和(220)的厚度會影響帶電以及中性粒子兩者的穿透性。
在某些具體實施例中,第一網格板(210)可由金屬(例如,鋁)或其他導電材料製成,且/或第二網格板(220)可由導電材料或介電材料(例如,石英、陶瓷等等)製成。在某些具體實施例中,第一網格板(210)及/或第二網格板(220)可由其他材料製成,例如像是矽或碳化矽。網格板係由金屬或其他導電材料製成的情況下,網格板可被接地。
第四圖的示例電漿處理裝置(500)可用來在該電漿室(120)內生成一第一電漿(502)(例如,一遠端電漿)並在處理室(110)內生成一第二電漿(504)(例如,一直接電漿)。第一電漿(502)可藉由一感應耦合電漿源生 成。舉例來說,第二電漿(504)可藉由一電容耦合電漿源(例如,一偏壓)生成。本文中所謂「遠端電漿」,指的是遠離一工件所生成的電漿,例如像是在由一分離網格與一工件分開的電漿室內。本文中所謂「直接電漿」,是指直接曝露至一工件的電漿,例如像是在具有一工件支架用來支撐該工件的處理室內所生成的電漿。
更明確地說,第四圖的電漿處理裝置(500)包括一偏壓源,其具有偏壓電極(510)在該支架(112)中。該偏壓電極(510)可經由一合適的匹配網路(512)耦合至一射頻電力產生器(514)。若偏壓電極(510)係以射頻能量供能,一第二電漿(504)可由處理室(110)內的已過濾混合物或處理氣體生成,用於直接曝露至工件(114)。處理室(110)可包括一排氣埠(516),用於從該處理室(110)排空一氣體。
在某些具體實施例中,支架(112)係經配置以致一直流偏壓可被施加至該工件(114)。在某些具體實施例中,直流電力係施加至置於支架(112)中的偏壓電極(510)。該直流偏壓可被施加以生成一電場,以致特定物種可被吸引並/或加速朝向工件(114)。施加直流偏壓至該工件(114),特定離子物種的流通量可受控制。如此做法可促進工件(114)之構造上的聚合物薄膜生長或氟自由基蝕刻。在某些具體實施例中,施加或提供至偏壓電極的直流偏壓係由約50W至約150W。直流偏壓可被施加至該工件(114),以加速特定物種從第二電漿(504)朝向工件(114)。
如第四圖所示,依據本發明的示例觀點,該裝置(500)可包括一氣體傳送系統(150)經配置以傳送處理氣體至該電漿室(120),舉例來說,經由氣體分配通道(151)或其他分配系統(例如,噴灑頭)。氣體傳送系 統可包括複數個饋入氣體管線(159)。饋入氣體管線(159)可使用閥及/或質流控制器加以控制,以傳送一期望份量的氣體進入該電漿室作為處理氣體。該氣體傳送系統(150)可包括饋入氣體管線用於傳送含氟氣體(例如,CF4、NF3以及/或SF6),饋入氣體管線用於傳送聚合物形成氣體(例如,H2或CH4),饋入氣體管線用於傳送含氧氣體(例如,O2),以及/或饋入氣體管線用於稀釋劑或載劑氣體(例如,Ar、He、N2)。一控制閥及/或質流控制器(158)可被用來控制各饋入氣體管線,進而讓一處理氣體流入該電漿室(120)的流速。
第五圖描繪的是一處理室(600),類似於第四圖的處理室。更明確地說,電漿處理裝置(600)包括一處理室(110),以及與該處理室(110)分開的一電漿室(120)。處理室(110)包括一工件支架或台座(112)可用於固定要被處理的一工件(114),例如像是一半導體晶圓。在此示例圖解中,一電漿是在電漿室(120)(即,電漿生成區域)中藉由一感應式耦合電漿源(135)生成,且期望的物種被從該電漿室(120)穿過分離隔板(200)而引至基板(114)的表面。
電漿室(120)包括一介電質側壁(122)以及一天花板(124)。介電質側壁(122)、天花板(124)以及分離網格(200)定義一電漿室內部(125)。介電質側壁(122)可由一介電材料形成,例如像是石英及/或氧化鋁。該感應耦合電漿源(135)可包括一感應線圈(130)緊鄰該介電質側壁(122)環繞該電漿室(120)放置。該感應線圈(130)係透過一合適的匹配網路(132)耦合至一射頻電力產生器(134)。處理氣體(例如,一惰性氣體)可從氣體供應器(150)以及一環狀氣體分配通道(151)或其他合適的氣體引入機構而被提供至腔室 內部。若感應線圈(130)係以從該射頻電力產生器(134)而來的射頻電力供電,一電漿可在該電漿室(120)內生成。一特定具體實施例中,電漿處理裝置(600)可包括一選用的接地法拉第屏蔽(128),以減低感應線圈(130)至電漿的電容式耦合。
如第五圖所示,該分離網格(200)將電漿室(120)與處理室(110)分開。分離網格(200)可被用來實施離子過濾從電漿室(120)內電漿生成的一混合物,以生成一已過濾混合物。已過濾混合物可被曝露至處理室內的工件(114)。
在某些具體實施例中,分離網格(200)可以是一多片分離網格。舉例來說,分離網格(200)可包括一第一網格板(210)以及一第二網格板(220),彼此以平行關係分隔開。第一網格板(210)和第二網格板(220)可分開一距離。
第一網格板(210)可具有一第一網格圖案,其具有複數個孔洞。第二網格板(220)可具有一第二網格圖案,其具有複數個孔洞。第一網格圖案可和第二網格圖案相同或不同。帶電粒子可在它們穿過分離網格中各個網格板(210)、(220)之孔洞的路徑上再結合。中性物種(例如,自由基)可相對自由地流動穿過第一網格板(210)和第二網格板(220)中的孔洞。孔洞尺寸以及各網格板(210)和(220)的厚度會影響帶電以及中性粒子兩者的穿透性。
在某些具體實施例中,第一網格板(210)可由金屬(例如,鋁)或其他導電材料製成,且/或第二網格板(220)可由導電材料或介電材料(例如,石英、陶瓷等等)製成。在某些具體實施例中,第一網格板(210) 及/或第二網格板(220)可由其他材料製成,例如像是矽或碳化矽。網格板係由金屬或其他導電材料製成的情況下,網格板可被接地。
第五圖的示例電漿處理裝置(600)可用來在該電漿室(120)內生成一第一電漿(602)(例如,一遠端電漿)並在處理室(110)內生成一第二電漿(604)(例如,一直接電漿)。如圖中所示,電漿處理裝置(600)可包括一傾斜介電質側壁(622)從與該遠端電漿室(120)相關聯之垂直側壁(122)延伸。該傾斜介電質側壁(622)可形成該處理室(110)的一部分。
第二感應式電漿源(635)可鄰近該介電質側壁(622)放置。第二感應式電漿源(635)可包括一感應線圈(610)經由一合適匹配網路(612)耦合至一射頻產生器(614)。感應線圈(610),若是以射頻能量供能,可從處理室(110)內的一混合物誘發一直接電漿(604)。一法拉第屏蔽(628)可被放置在感應線圈(610)與側壁(622)之間。
工件支架(112)可在標為「V」的一垂直方向移動。舉例來說,工件支架(112)可包括一垂直升降器(616),其可經組態用以調整工件支架(112)與分離網格組件(200)之間的距離。如一範例中所示,工件支架(112)可位在第一垂直位置,用於使用該遠端電漿(602)處理。工件支架(112)可位在第二垂直位置,用於使用直接電漿(604)處理。第一垂直位置可相對於第二垂直位置更加靠近分離網格組件(200)。
第五圖的電漿處理裝置(600)包括一偏壓源,其具有偏壓電極(510)在該支架(112)中。該偏壓電極(510)可經由一合適的匹配網路(512)耦合至一射頻電力產生器(514)。處理室(110)可包括一排氣埠(516),用於從該處理室(110)排空一氣體。
在某些具體實施例中,支架(112)係經配置以致一直流偏壓可被施加至該工件(114)。在某些具體實施例中,直流電力被施加至置於工件支架(112)中的偏壓電極(510)。該直流偏壓可被施加以生成一電場,以致特定物種可被吸引並/或加速朝向工件(114)。實施一直流偏壓至該工件(114),特定離子物種的流通量可受控制。如此做法可促進工件(114)之構造上的聚合物薄膜生長或氟自由基蝕刻。在某些具體實施例中,施加或提供至偏壓電極的直流偏壓係由約50W至約150W。直流偏壓可被實施至該工件(114)以加速特定物種從第二電漿(604)朝向工件(114)。
如第五圖所示,依據本發明的示例觀點,該裝置(600)可包括一氣體傳送系統(150)經配置以傳送處理氣體至該電漿室(120),舉例來說,經由氣體分配通道(151)或其他分配系統(例如,噴灑頭)。氣體傳送系統可包括複數個饋入氣體管線(159)。饋入氣體管線(159)可使用閥及/或質流控制器加以控制,以傳送一期望份量的氣體進入該電漿室作為處理氣體。如第五圖所示,該氣體傳送系統(150)可包括饋入氣體管線用於傳送含氟氣體(例如,CF4、NF3以及/或SF6),饋入氣體管線用於傳送聚合物形成氣體(例如,H2或CH4),饋入氣體管線用於傳送含氧氣體(例如,O2),以及/或饋入氣體管線用於稀釋劑或載劑氣體(例如,Ar、He、N2)。一控制閥及/或質流控制器(158)可被用來控制各饋入氣體管線讓一臭氧氣體或一處理氣體流入該電漿室(120)的流速。
在某些具體實施例中,裝置(600)可包括一控制器。(圖中未顯示)。該控制器可經配置以控制氣體傳送系統、感應元件以及直流偏壓,以實施一間隔物蝕刻製程。該控制器可包括一或多個處理器以及一或多個 記憶體裝置。該記憶體裝置可儲存電腦可讀取指令,若由該等一或多個處理器執行的話會導致控制器控制裝置(500)或(600)的多個面相,以實施本文所揭示的任何一種方法。在某些具體實施例中,該控制器係經配置以控制氣體傳送系統、感應元件以及直流偏壓,以實施一間隔物打開製程。間隔物打開製程可包括特定的多項操作。該等操作可包括在該電漿室中引入一處理氣體,該處理氣體包含一含氟氣體以及一聚合物成形氣體;提供射頻電力至該感應線圈,以從該處理氣體生成一第一電漿以生成一第一混合物,該第一混合物包含一或多個第一物種;過濾該等一或多個第一物種以製成一已過濾混合物;然後提供射頻電力至該偏壓電極以在處理室內的已過濾氣體中製成第二混合物,該第二混合物包含一或多個第二物種。某些具體實施例中,該等操作進一步包括提供直流偏壓至該偏壓電極。
第六圖繪出的係依據本案之示範具體實施例的一示例方法(300)之流程圖。該方法(300)可使用例如像是第四圖的電漿處理裝置(500)實施。然而,其他電漿處理裝置可被使用而不會偏離本發明的範疇。第六圖繪出的步驟以一特殊順序實施是為了圖解及討論目的。本技術領域中具一般能力者,使用本文所提供的揭示,應能理解本文所描述任一方法的各種步驟可被改編、省略、重新安排、反覆、同步實施、擴展、包含未舉例的步驟、或以其他方法重新安排,而不會偏離本案的範疇。
步驟(302),該方法包括把一工件放入一電漿處理裝置的處理室內的一工件支架上。處理室可與一電漿室分開(例如,由一分離網格組件分開)。舉例來說,該方法可包括把一工件(114)放在第四圖之處理室(110)內的工件支架(112)上。工件可包括至少一間隔物材質層(例如,SiN 間隔物)以及至少一構造在其上。該構造可以是一或多個心軸構造(Si心軸)。(舉例來說,參照第三圖)。
步驟(304),該方法可包括實施一間隔物蝕刻製程或間隔物打開製程。該間隔物蝕刻製程可曝露一間隔物(例如,SiN間隔物)至使用在該電漿室內生成的感應耦合電漿、或在處理室內生成的直接電漿(或兩者皆有)所生成的物種中。感應耦合電漿或直接電漿可從包括一含氟氣體的處理氣體生成。感應耦合電漿可從一處理氣體生成,其包括一聚合物形成氣體(例如,CH4或H2)。選擇性地,O2氣體可被包括在該處理氣體內。選擇性地,惰性氣體可被用來作為處理氣體的稀釋劑。
舉例來說,處理氣體可經由氣體供應(150)被提供給電漿室內部(125)(第四圖)。感應耦合電漿可使用電漿源(135)在處理氣體中被誘發出來。感應耦合電漿中生成的物種可穿過一分離網格組件(200)以過濾該等物種當中的離子。穿過該分離網格的中性自由基因而係經過濾以製造一已過濾混合物。
在某些具體實施例中,分離網格組件(200)可經組態以用大於或等於約90%的效率過濾離子,例如像是大於或等於約95%。離子過濾百分效率是指被由混合物移除的離子數量比上混合物內總離子數。舉例來說,約90%的效率指出過濾期間約90%的離子被移除。舉例來說,約95%的效率指出過濾期間約95%的離子被移除。
在某些具體實施例中,分離網格(200)可以是一多片分離網格。多片分離網格可具有平行的多個分離網格板。網格板孔洞的配置和定向可經選取,以提供所想要的離子過濾效率,例如像是大於或等於約95%。
在某些具體實施例中,一第二電漿或直接電漿可被從已過濾混合物中使用工件支架(112)內的偏壓電極(510)誘發出來。直接電漿可藉由提供射頻電力至偏壓電極而被誘發。第二電漿可由通過如上述分離網格的已過濾混合物生成。某些具體實施例中,經由偏壓電極將已過濾混合物曝露至射頻偏壓,產生含有一或多個第二物種的第二混合物。在某些具體實施例中,第二電漿可從提供至電漿室(125)之第二處理氣體生成,經分離網格(200)過濾,並且進入處理室(110)。第二電漿或直接電漿可從處理室內的第二處理氣體生成。第二處理氣體經由偏壓電極對射頻偏壓的曝露,製造出一第二混合物包括一或多個第二物種。
在某些具體實施例中,工件對已過濾混合物及/或第二混合物的曝露導致至少一部分係垂直於該基板的間隔物材質層被移除。在某些具體實施例中,第二混合物可包括一或多個包含氟自由基的物種。曝露於工件時,氟自由基可從該工件蝕刻間隔物材質。舉例來說,在心軸上方或覆蓋於其上的間隔物材質部分可被移除。此外,覆蓋基板材質的間隔物材質部分可被移除。在某些具體實施例中,在心軸側壁上的間隔物材質部分在蝕刻製程期間並沒有被移除。(參照第三圖)。
此外,在某些具體實施例中,工件對第二混合物的曝露可導致聚合物層或聚合物薄膜在該工件的至少部分構造上形成。第二混合物可包括一或多個第二物種,包括氟自由基、碳氫化合物物種、以及/或氫氟碳化合物物種。在某些具體實施例中,該第一或多個第二物種可包括CxHyFz物種,其中x係大於或等於1且小於或等於10,其中y係大於或等於1且小於或等於10,其中z係大於或等於1且小於或等於10。工件對氫氟碳化合物 (CxHyFz)物種的曝露可導致在間隔物材質之側壁構造上的薄膜形成。在某些具體實施例中,一聚合物層係形成在該間隔物材質的側壁上。在該間隔物材質側壁上之聚合物層形成,可鈍化側壁並避免蝕刻製程期間側壁的蝕刻。(參照第三圖)。
因此,將具有至少一構造位於其上的工件(114)曝露至第二混合物,導致聚合物沉積在間隔物材質特定表面上,同時還蝕刻該間隔物材質的其他部分。因此,工件(114)可被曝露於第二混合物中的一或多個物種以蝕刻工件上之至少一部分間隔物材質層,並在至少一部分的間隔物材質層上形成聚合物層。
在某些具體實施例中,聚合物形成氣體(例如,CH4)的數量可被調整以控制被沉積在至少一構造上之聚合物薄膜的數量或厚度。舉例來說,依據所需的間隔物材質臨界尺度,可能希望調整添加至處理氣體內之聚合物形成氣體的量,以便控制在該構造之側壁上所形成聚合物薄膜或層的量。舉例來說,為蝕刻具有緊靠放置在一起之心軸構造的工件,添加至該處理氣體之聚合物形成氣體的數量可被減少以致於不會過載心軸之間的間隔。依此方法,一較薄聚合物層形成於間隔物材質上,因此保護間隔物材質不受側向蝕刻。該較薄聚合物層也確保心軸構造之間的間隔並不會被聚合物層過載,因此反應性蝕刻劑物種仍然可抵達該構造底層,以便有效蝕刻材質層的底部。更進一步,為蝕刻具有遠離分開放置之心軸構造的工件,添加至處理氣體之聚合物形成氣體的數量可被增加,以致於在該間隔物材質的側壁上形成一較厚聚合物層,以避免不希望得到的間隔物側壁材質之蝕刻。
在某些具體實施例中,將工件曝露至第二混合物期間,經由對該工件支架(112)內的偏壓電極的直流偏壓而對工件施以直流電力。對工件實施直流偏壓可加速特定物種從第二混合物至工件表面。舉例來說,在某些具體實施例中,對工件施加直流偏壓可導致特定蝕刻劑物種(例如像是氟自由基蝕刻劑)加速至工件表面,導致垂直於已過濾混合物之該等一或多個物種流的間隔物材質層之移除。在某些具體實施例中,對工件實施直流偏壓可導致加速特定聚合物形成物種朝向工件表面,導致聚合物薄膜在工件上形成。
在某些具體實施例中,依據所想要的蝕刻以及聚合物形成速率,調整聚合物形成氣體對含氟氣體的比值可能有其優勢。舉例來說,在某些具體實施例中,聚合物形成氣體對含氟氣體的比值可為由約2至5。某些具體實施例中,聚合物形成氣體可包含CH4,且含氟氣體可包含CF4,其比值為由約2.5至4.5。
在某些具體實施例中,間隔物蝕刻製程可在一製程溫度進行。某些具體實施例中,間隔物蝕刻製程具有一製程溫度由約15℃至約35℃。特定具體實施例中,製程溫度可被調整以協助適當蝕刻至鈍化平衡。舉例來說,較高溫度傾向於抑制聚合反應(即,聚合物層的形成)。因此,期望較低量聚合物層且需要較高量蝕刻的實施例中,製程溫度可被增加。其他具體實施例中,期望較高量聚合物層且需要較慢蝕刻速率的實施例中,製程溫度可被減少。
在某些具體實施例中,間隔物蝕刻製程可在一製程壓力進行。製程壓力可由約4mT至約10mT。特定具體實施例中,製程壓力可被調 整以協助適當蝕刻至鈍化平衡。舉例來說,想要更迅速聚合反應的實施例中,製程壓力可被增加。進一步,較高製程壓力可被用於刻意的上至下CD加載以改變蝕刻輪廓。
步驟(306),該方法可包括實施一心軸移除製程。用於蝕刻心軸的任何適當製程也可被使用而不會偏離本發明的範疇。在某些具體實施例中,心軸移除製程可將心軸曝露至由一遠端電漿源中一電漿所生成的自由基,以移除該矽心軸。舉例來說,在某些具體實施例中,一含氟氣體(例如,三氟化氮〔NF3〕或四氟化碳〔CF4〕或其他含氟烴類例如像是CH2F2、CHF3、CH3F)可被用來製造與遠端ICP電漿相關聯之高度選擇性的物種,用於在降地對間隔物及次層之損害的情況下移除矽心軸。
更明確地說,一示例心軸移除製程可包括使用一遠端電漿室中的一電感式耦合元件從一處理氣體生成物種。該製程可包括引入的一含氟氣體(例如,三氟化氮〔NF3〕或四氟化碳〔CF4〕或其他含氟烴類例如像是CH2F2、CHF3、CH3F)配上該等物種以製造一混合物。該方法可包括將該工件的矽構造曝露至該混合物以移除至少一部分矽心軸。在某些具體實施例中,該處理氣體可進一步包括氫(H2)。在某些其他具體實施例中,處理氣體也可包括一惰性氣體,例如像是氦(He)或氬(Ar)。
在某些具體實施例中,該處理氣體可包括一惰性氣體。該惰氣可被放入該電漿室。含氟氣體(例如,三氟化氮〔NF3〕或四氟化碳〔CF4〕或其他含氟烴類例如像是CH2F2、CHF3、CH3F)可在電漿室之外並在距電漿室下游流程的位置處注入。舉例來說,含氟氣體(例如,三氟化氮〔NF3〕或四氟化碳〔CF4〕或其他含氟烴類例如像是CH2F2、CHF3、CH3F)可經由 一後電漿注射源而被注入。試舉一例,後電漿氣體注入源可位在可將該電漿室與一處理室分開的一分離網格的第一網格板與第二網格板之間。在某些具體實施例中,後電漿氣體注入源可以位在處理室內的分離網格之下。
第六圖的步驟(308),該方法可包括將工件移出處理室。在將工件移離處理室之前額外的製程步驟可被實施(例如,將間隔物轉移至其下的基板)而不會偏離本案範疇。
第七圖繪出依據本發明之示例觀點的一示例間隔物蝕刻製程(700)。
步驟(702),該製程包括將工件放在該處理室內。該方法可包括把一工件(114)放入一電漿處理裝置的處理室(110)內的一工件支架(112)上。該處理室可與一電漿室分開(例如,由一分離網格組件分開)。舉例來說,該方法可包括把一工件(114)放在第四圖之處理室(110)內的工件支架(112)上。該工件可包括至少一間隔物材質層(例如,SiN間隔物)以及至少一構造在其上。該構造可以是一或多個心軸構造(Si心軸)。(舉例來說,參照第三圖)。
步驟(704),該製程可包括把一處理氣體放入該電漿室內。處理氣體可經由一氣體傳送系統(150)被引入該電漿室(125)的內部。氣體傳送系統可經配置以將包含含氟氣及/或一聚合物形成氣體的一處理氣體傳送至電漿室(125)的內部。氣體傳送系統可經配置以將包含一含氧氣或一載劑氣體的一處理氣體提供至電漿室(125)的內部。在某些具體實施例中,該含氟氣體包括四氟化碳〔CF4〕、三氟化氮〔NF3〕或六氟化硫〔SF6〕以及其混合物或組合物。在某些具體實施例中,該聚合物成形氣體包括甲烷 (CH4)、氫(H2)及其混合物或組合物。在某些具體實施例中,載劑氣體包括氬(Ar)、氦(He)、氮(N2)、以及其混合物或組合物。特定具體實施例中,含氧氣體可包括氧(O2)。
步驟(706),該製程包括提供射頻電力至感應線圈(130)以生成一或多個第一物種。在某些具體實施例中,感應耦合電漿源(135)可經由一射頻電力產生器(134)供以射頻電力,以在電漿室(125)的內部當中生成一電漿。該感應耦合電漿源(135)可包括一感應線圈(130)緊鄰該該電漿室(125)的介電質側壁(122)放置。若感應線圈(130)係以射頻能量供能,第一電漿可在電漿室(125)內部當中從處理氣體生成。第一電漿可包括一第一混合物,其中該第一混合物包括一或多個第一物種。該等一或多個第一物種可包括氟自由基以及/或羥自由基。
步驟(708),該製程包括過濾該等一或多個第一物種以製成一已過濾混合物。在第一電漿中生成的該等一或多個第一物種可經由一分離網格(200)過濾,以過濾物種內的離子。中性自由基可穿過分離網格(200)並且進入處理室(110)內部。
在某些具體實施例中,分離網格組件(200)可經組態以用大於或等於約90%的效率過濾離子,例如像是大於或等於約95%。離子過濾百分效率是指被從混合物移除的離子的數量比上混合物內總離子數。舉例來說,約90%的效率指出過濾期間約90%的離子被移除。舉例來說,約95%的效率指出過濾期間約95%的離子被移除。
在某些具體實施例中,分離網格(200)可以是一多片分離網格。多片分離網格可具有平行的多個分離網格板。網格板孔洞的配置和定 向可經選取,以提供所想要的離子過濾效率,例如像是大於或等於約95%。
步驟(710),該製程包括提供射頻電力至偏壓電極(510)以生成一第二混合物。在某些具體實施例中,射頻電力係經由一射頻電力產生器(514)經由一適合的匹配網路(512)供應至偏壓電極(510)。當偏壓電極(510)被以射頻能量供能時,第二電漿(504)可從該處理室(110)內的該已過濾混合物生成,用於直接曝露至該工件(114)。舉例來說,在某些具體實施例中,已過濾混合物可被用來生成一第二電漿,其包含一或多個第二物種。該等一或多個第二物種可包括氟自由基、羥自由基、氫氟碳化物自由基、氫自由基,或其組合物。在某些具體實施例中,第二混合物的一或多個第二物種可包括CxHyFz,其中x係大於或等於1且小於或等於10,其中y係大於或等於1且小於或等於10,其中z係大於或等於1且小於或等於10。
步驟(712),該方法包括提供直流偏壓至該偏壓電極(510)。在特定具體實施例中,工件支架(112)係經配置以致一直流偏壓可被施加至該偏壓電極(510)。該直流偏壓可被施加以生成一電場,以致來自第二混合物的特定物種可被吸引並/或加速朝向工件(114)。因此,實施該直流偏壓至該工件(114),特定離子物種的流通量可受控制。如此做法可有助於促進工件(114)之構造上的聚合物薄膜生長或氟自由基蝕刻。在某些具體實施例中,施加或提供至偏壓電極的直流偏壓係由約50W至約150W。直流偏壓可被實施至該工件(114)以加速特定物種從第二電漿(504)朝向工件(114)。特定具體實施例中,提供直流偏壓至該偏壓電極(510)係選擇性的。
步驟(714),該製程包括將工件曝露至第二混合物。在某些具體實施例中,工件(114)對第二混合物的曝露從該工件蝕刻或移除至少一 部分間隔物層,並在工件上至少一部分的間隔物上形成一聚合物層。
在某些具體實施例中,工件對第二混合物的曝露導致至少一部分係垂直於該基板的間隔物材質層被移除。舉例來說,若曝露於工件,出現在第二混合物中的特定氟自由基可從該工件蝕刻間隔物材質。舉例來說,在心軸上方或覆蓋於其上的間隔物材質部分可被移除。此外,覆蓋基板材質的間隔物材質部分可被移除。在某些具體實施例中,在心軸側壁上的間隔物材質部分在蝕刻製程期間並沒有被移除。(參照第三圖)。
此外,在某些具體實施例中,工件對第二混合物的曝露可導致聚合物層或聚合物薄膜在該工件的至少部分構造上形成。在某些具體實施例中,該第二混合物可包括一或多個第二物種,包括氟自由基、碳氫化合物物種、以及/或氫氟碳化合物物種。在某些具體實施例中,該第一或多個第二物種可包括CxHyFz物種,其中x係大於或等於1且小於或等於10,其中y係大於或等於1且小於或等於10,其中z係大於或等於1且小於或等於10。工件對氫氟碳化合物(CxHyFz)物種的曝露可導致在間隔物材質之側壁構造上的薄膜形成。在某些具體實施例中,一聚合物層係形成在該間隔物材質的側壁上。在該間隔物材質側壁上之聚合物層形成,可鈍化側壁並避免蝕刻製程期間側壁的蝕刻。(參照第三圖)。
因此,將具有至少一構造位於其上的工件(114)曝露至第二混合物,導致聚合物沉積在間隔物材質特定表面上,同時還蝕刻該間隔物材質的其他部分。因此,工件(114)可被曝露於第二混合物中的一或多個物種,以蝕刻工件上之至少一部分間隔物材質層,並在至少一部分的間隔物材質層上形成聚合物層。
用於一間隔物蝕刻製程的示例製程參數提供如下:
處理氣體:CF4、CH4
稀釋劑氣體:Ar
製程壓力:約300至約600mT
感應耦合電漿源電力:約600至約1000W(例如,約900W)
偏壓源功率:約50至約120W(例如,約100W)
工件溫度:約15℃至約35℃(例如,約20℃)
製程期間:約40至約80秒(例如,約60秒)
處理氣體的氣體流動速率:
處理氣體10-80sccm CF4(例如,約15sccm);40-70sccm CH4(例如,約65sccm)
稀釋劑氣體:160-230sccm Ar(例如,約225sccm)
使用依據本發明示例具體實施例的一感應耦合電漿源之心軸移除製程的示例製程參數,提供如下:
處理氣體:CF4、N2、H2
稀釋劑氣體:He/Ar
製程壓力:約200mTorr至約1000mTorr(例如,約300mTorr)
感應耦合電漿源電力:約600W至約2500W(例如,約2500W)
偏壓源功率:約0W至約150W(例如,約0W)
工件溫度:(約20℃)約5℃至約90℃(例如,約20℃)
製程期間:約10至約200秒(例如,約75秒)
處理氣體的氣體流動速率:約50sccm至約500sccm(例如,350sccm)
雖然本技術主題是相對於其特定示範性具體實施例詳細描述,可想而知熟悉此項技術者一旦瞭解前文的解說,可輕易製出此等具體實施例的替換型、變化型以及均等物。因此,本說明書的範疇係舉例而非設限,且主題揭示並不排除納入對於本技術主題的此等修改、變化及/或增添,正如本技術領域內具一般能力者應可輕易看出。
10:工件
11:基板層
12:心軸構造
14:間隔物
20:間隔物蝕刻製程

Claims (17)

  1. 一種用於在一電漿處理裝置內處理一工件的方法,該電漿處理裝置包含一電漿室具有一內部可用於接收一處理氣體,以及一處理室具有一工件支架可用於支撐一工件,其中該工件包含至少一間隔物層以及至少一心軸構造,進一步其中該電漿處理裝置包含一感應線圈置於該電漿室附近,以及一偏壓電極置入該工件支架內,該方法包含:
    放置該工件在該處理室內的該工件支架上;
    在該電漿室內放入一處理氣體,該處理氣體包含一含氟氣體以及一聚合物成形氣體;
    提供射頻電力至該感應線圈,以從該處理氣體生成一第一電漿以生成一第一混合物,該第一混合物包含一或多個第一物種;
    過濾該等一或多個第一物種以製成一已過濾混合物;
    提供射頻電力至該偏壓電極,以在處理室內的該已過濾混合物中生成一第二電漿以生成一第二混合物,該第二混合物包含一或多個第二物種;以及
    曝露該工件至該第二混合物以蝕刻該工件至少一部分的該至少一間隔物層,並且在至少一部分的該至少一間隔物層上形成一聚合物層。
  2. 如請求項1的方法,其中該含氟氣體包含CF4、NF3、SF6
  3. 如請求項1的方法,其中該聚合物成形氣體包含CH4、CH3F或H2
  4. 如請求項1的方法,其中該處理氣體進一步包含一稀釋劑氣體,其中該稀釋劑氣體包含Ar、He、N2、O2以及其混合物。
  5. 如請求項1的方法,其中該第二混合物包含CxHyFz,其中x係大於或等於1且小於或等於10,其中y係大於或等於1且小於或等於10,其中z係大於或等於1且小於或等於10。
  6. 如請求項1的方法,其中曝露該工件至該第二混合物在該至少一間隔物層上形成一聚合物層。
  7. 如請求項1的方法,進一步包含提供一直流偏壓至該偏壓電極。
  8. 如請求項7的方法,其中提供該直流偏壓至該偏壓電極加速一或多個物種從該第二混合物到該工件。
  9. 如請求項7的方法,其中提供給該偏壓電極的該直流偏壓係由約50W至約150W。
  10. 如請求項1的方法,其中過濾該等一或多個物種以生成一已過濾混合物包含經由將該電漿室與該處理室分開的一分離網格過濾該等一或多個物種。
  11. 如請求項1的方法,其中曝露該工件至該第二混合物發生於一製程壓力,其中該製程壓力係從約4mT至約10mT。
  12. 如請求項1的方法,其中曝露該工件至該第二混合物發生於一製程溫度,其中該製程溫度係從約15℃至約35℃。
  13. 如請求項1的方法,其中該聚合物成形氣體包含CH4
  14. 如請求項13的方法,其中該方法包含增加CH4在該處理氣體內的的數量,以提供該至少一間隔物層上的聚合物層份量增加。
  15. 如請求項1的方法,其中該處理氣體包含CH4和CF4,其中CH4對CF4的比值係由2.5至4.5。
  16. 一種電漿處理裝置,包含:
    一電漿室,其具有一內部空間可用於接收一處理氣體;
    一處理室,具有一工件支架可用於支撐一工件,其中一偏壓電極係被放置於該工件支架內;
    一分離網格將該電漿室與該處理室分開;
    一氣體傳送系統可用於流動一含氟氣體;
    一感應元件可用於在該電漿室中誘發一電漿;
    一偏壓源經配置以提供直流電力以及射頻電力至該偏壓電極;以及
    一控制器經配置以控制該氣體傳送系統、該感應元件、以及該偏壓源,以實施一間隔物打開製程,該間隔物打開製程包含多項操作,該等操作包含:
    在該電漿室內放入一處理氣體,該處理氣體包含一含氟氣體以及一聚合物成形氣體;
    提供射頻電力至該感應線圈,以從該處理氣體生成一第一電漿以生成一第一混合物,該第一混合物包含一或多個第一物種;
    過濾該等一或多個第一物種以製成一已過濾混合物;以及
    提供射頻電力至該偏壓電極,以在該處理室內的該已過濾混合物中生成一第二電漿以生成一第二混合物,該第二混合物包含一或多個第二物種。
  17. 如請求項16的方法,其中該等操作進一步包含提供一直流偏壓至該偏壓電極。
TW109128956A 2019-08-30 2020-08-25 間隔物蝕刻製程 TW202125568A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962894092P 2019-08-30 2019-08-30
US62/894,092 2019-08-30

Publications (1)

Publication Number Publication Date
TW202125568A true TW202125568A (zh) 2021-07-01

Family

ID=74679168

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128956A TW202125568A (zh) 2019-08-30 2020-08-25 間隔物蝕刻製程

Country Status (4)

Country Link
US (1) US11276560B2 (zh)
CN (1) CN112771650B (zh)
TW (1) TW202125568A (zh)
WO (1) WO2021041366A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189464B2 (en) * 2019-07-17 2021-11-30 Beijing E-town Semiconductor Technology Co., Ltd. Variable mode plasma chamber utilizing tunable plasma potential
US20220359201A1 (en) * 2021-05-06 2022-11-10 Applied Materials, Inc. Spacer patterning process with flat top profile
CN113471049B (zh) * 2021-06-30 2022-07-26 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US9614053B2 (en) 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US20180277387A1 (en) 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9472414B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned multiple spacer patterning process
US9741566B2 (en) 2015-03-30 2017-08-22 Applied Materials, Inc. Methods for manufacturing a spacer with desired profile in an advanced patterning process
FR3044463B1 (fr) 2015-11-26 2017-12-15 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
WO2018057499A1 (en) 2016-09-20 2018-03-29 Tokyo Electron Limited Spacer formation for self-aligned multi-patterning technique
US9881794B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
KR20200072557A (ko) 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법

Also Published As

Publication number Publication date
US11276560B2 (en) 2022-03-15
WO2021041366A1 (en) 2021-03-04
CN112771650B (zh) 2022-06-24
CN112771650A (zh) 2021-05-07
US20210066047A1 (en) 2021-03-04

Similar Documents

Publication Publication Date Title
US10600639B2 (en) SiN spacer profile patterning
US10629473B2 (en) Footing removal for nitride spacer
TW202125568A (zh) 間隔物蝕刻製程
TWI508164B (zh) Manufacturing method of semiconductor device
JP6035117B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
EP0954877B1 (en) Method for reducing plasma-induced charging damage
KR20120109343A (ko) 플라즈마 에칭 방법 및 기억 매체
TWI525692B (zh) Plasma etching method, control program and computer memory media
JP2022512802A (ja) ハードマスクを除去するための水蒸気ベースのフッ素含有プラズマ
US20190122902A1 (en) Atomic layer etching processes
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TW201724252A (zh) 蝕刻方法
US11387115B2 (en) Silicon mandrel etch after native oxide punch-through
TW202045749A (zh) 基板處理方法
TW202125622A (zh) 使用氟自由基處理工件的方法
US11195718B2 (en) Spacer open process by dual plasma
WO2021011718A1 (en) Processing of workpieces using deposition process and etch process
KR20150040854A (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JPH0817804A (ja) プラズマエッチング方法
TWI753413B (zh) 電漿處理方法
US11107695B2 (en) Surface smoothing of workpieces
JP2003017475A (ja) ドライエッチング方法