KR100400044B1 - 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 - Google Patents

간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 Download PDF

Info

Publication number
KR100400044B1
KR100400044B1 KR10-2001-0042822A KR20010042822A KR100400044B1 KR 100400044 B1 KR100400044 B1 KR 100400044B1 KR 20010042822 A KR20010042822 A KR 20010042822A KR 100400044 B1 KR100400044 B1 KR 100400044B1
Authority
KR
South Korea
Prior art keywords
baffle plate
gap
holes
spacer ring
plate
Prior art date
Application number
KR10-2001-0042822A
Other languages
English (en)
Other versions
KR20030008068A (ko
Inventor
박종철
김동현
권오익
조혜진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2001-0042822A priority Critical patent/KR100400044B1/ko
Priority to US10/178,757 priority patent/US6872258B2/en
Priority to DE10232206A priority patent/DE10232206B4/de
Priority to JP2002206765A priority patent/JP4246450B2/ja
Priority to CNB2005101135327A priority patent/CN100435274C/zh
Priority to TW091115836A priority patent/TW565903B/zh
Priority to CNB021268762A priority patent/CN1265441C/zh
Publication of KR20030008068A publication Critical patent/KR20030008068A/ko
Application granted granted Critical
Publication of KR100400044B1 publication Critical patent/KR100400044B1/ko
Priority to US11/057,752 priority patent/US20050145338A1/en
Priority to JP2008236763A priority patent/JP2008300888A/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Nozzles (AREA)

Abstract

반응 챔버 내의 프로세스 영역에서 반응 가스의 분포량을 조절할 수 있는 샤워 헤드에 관하여 개시한다. 본 발명에 따른 샤워 헤드에서, 상부 플레이트는 외부로부터 공급되는 상기 반응 가스를 상기 반응 챔버 내로 유입시키기 위한 가스 유입구가 형성되어 있다. 페이스 플레이트는 복수의 관통홀이 형성되어 있고 상기 프로세스 영역에 대면하고 있다. 제1 배플 플레이트는 상기 상부 플레이트와 상기 페이스 플레이트와의 사이에 상하 이동 가능하게 설치되고, 상기 반응 가스의 제1 횡방향 유동 통로를 형성하는 제1 갭을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있다. 제2 배플 플레이트는 상기 제1 배플 플레이트와 상기 페이스 플레이트와의 사이에 상하 이동 가능하게 설치되고, 상기 제1 배플 플레이트와의 사이에서 상기 반응 가스의 제2 횡방향 유동 통로를 형성하는 제2 갭을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있다. 간격 조절 장치는 상기 제1 갭 및 제2 갭의 폭을 결정하기 위하여 사용된다.

Description

간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 {Shower head of wafer treatment apparatus having gap controller}
본 발명은 반도체 소자의 제조 장치에 관한 것으로, 특히 플라즈마를 이용하는 웨이퍼 처리 장치에서 반응 챔버 내에 반응 가스를 공급하기 위하여 설치되는 샤워 헤드에 관한 것이다.
반도체 소자가 고집적화되어 감에 따라 디자인 룰은 점차 감소되어 미세화되어가고, 웨이퍼는 대구경화되어가고 있다. 이와 같이 대구경화된 웨이퍼는 반도체 소자 제조에 필요한 여러가지 공정을 거치게 된다. 예를 들면, 반응 챔버의 상부에서 증착 또는 식각을 위한 반응 가스를 공급하여 웨이퍼상에 물질막을 증착하거나 웨이퍼상에 있는 물질막을 소정 패턴에 따라 식각하는 공정을 거친다. 그 중에서 특히 식각 공정의 경우에는 웨이퍼가 대구경화됨에 따라 웨이퍼 전면에 걸쳐서 균일도를 최적화하는 것이 중요한 과제이다.
통상적인 식각 장치의 경우에는 반응 챔버 내의 상부 전극으로부터 가스가 공급되고 하부 전극의 주위쪽으로 펌핑 아웃(pumping out)이 이루어지는 다운 스트림(down stream) 방식으로 식각에 필요한 반응 가스가 반응 챔버 내에 유입된다. 상기 반응 챔버 내에서 반응 가스가 골고루 분포될 수 있도록 하기 위하여, 상기 반응 챔버의 상부에는 복수의 관통공이 형성되어 있는 배플들을 수 개 포함하는 샤워 헤드가 설치되어 있다. 종래 기술에 따른 샤워 헤드에서는 각 배플에 형성되어 있는 관통공의 위치와 각 배플들간의 간격이 고정된 상태로 설치되어 있다.
샤워 헤드에 설치되어 있는 배플의 기능은 식각 장치의 상부 전극 즉 GDP(gas distribution plate)의 설계시에 GDP 내부에 가스 흐름의 분포를 조절하기 위한 것이다. 일반적으로, 배플에 의한 가스 분포 기능은 각 배플간의 간격과 각 배플에 형성된 관통공의 개구율에 의해 결정된다. 그러나, 종래 기술에 따른 샤워 헤드에서는 각 배플에 형성되어 있는 관통공의 위치와 각 배플들간의 간격이 고정되어 있으므로 하나의 식각 장치 내에서 행하여야 할 공정이 변경될 때 마다 웨이퍼상에서 각 위치에 따라 식각율 분포가 달라지게 된다. 따라서, 종래 기술에 따른 샤워 헤드의 구성은 새로운 공정 개발시 하나의 제한 요소로 작용하게 되며, 새로운 식각 장치를 개발하는 데 있어서도 배플 제작을 위해 수 많은 시뮬레이션 작업이 필요할 뿐 만 아니라 과다한 개발비를 소모하게 되는 문제가 있다.
또한, 예를 들면 웨이퍼상에 게이트 전극을 형성하기 위한 식각 공정의 경우, 게이트 패터닝 전에 이루어지는 식각 마스크층 형성을 위한 식각 공정 단계에서는 경우에 따라 웨이퍼 전면에 걸쳐서 무조건 완전한 식각 균일도가 얻어지는 것이 바람직하지 않을 수도 있다. 또한, 멀티스텝(multi-step)으로 이루어지는 식각 공정을 행하는 경우, 각 스텝마다 웨이퍼상에서의 식각율 균일도가 다르다. 이들경우에 있어서, 종래 기술에서와 같이 각 배플에 형성되어 있는 관통공의 위치와 각 배플들간의 간격이 고정되어 있는 경우에는 샤워 헤드를 통한 가스 공급량을 웨이퍼상의 위치에 따라 임의로 변경시키는 것이 불가능하여 웨이퍼 전면에 걸쳐서 형성하고자 하는 패턴의 균일도를 최적화시키는 것이 매우 어렵다. 그에 따라, 반도체 소자 제조 공정중 식각 공정에서 발생하는 식각율 불균일에 따른 문제는 소자의 성능 저하 및 수율 저하의 주 원인으로 되고 있다.
본 발명의 목적은 반도체 소자의 제조 공정시 웨이퍼상의 전면에 걸쳐서 최적화된 식각율 균일도를 얻기 위하여 웨이퍼상의 위치에 따라 반응 가스 분포량을 조절할 수 있는 샤워 헤드를 제공하는 것이다.
본 발명의 다른 목적은 식각 단계중 웨이퍼상의 위치에 따라 발생할 수 있는 식각율 균일도 저하를 미리 보상하여 최종적으로 얻어지는 식각율 균일도를 최적화시킬 수 있도록 웨이퍼상의 위치에 따라 원하는 대로 반응 가스 공급량을 조절할 수 있는 샤워 헤드를 제공하는 것이다.
도 1은 본 발명의 일 실시예에 따른 샤워 헤드의 구성을 개략적으로 도시한 단면도이다.
도 2는 본 발명의 일 실시예에 따른 샤워 헤드에 구비된 페이스 플레이트의 평면도이다.
도 3은 본 발명의 일 실시예에 따른 샤워 헤드에 구비된 제1 배플 플레이트의 평면도이다.
도 4는 본 발명의 일 실시예에 따른 샤워 헤드에 구비된 제2 배플 플레이트의 평면도이다.
도 5a 내지 도 5c는 본 발명의 일 실시예에 따른 샤워 헤드에 구비된 가이드 배플 플레이트를 도시한 도면들이다.
도 6은 가이드 배플 플레이트, 제1 배플 플레이트 및 제2 배플 플레이트 각각에 형성된 관통홀의 상호 위치 관계를 설명하기 위한 도면이다.
도 7은 본 발명의 일 실시예에 따른 샤워 헤드에 구비된 제3 배플 플레이트의 평면도이다.
도 8은 본 발명에 따른 샤워 헤드에 채용되는 간격 조절 장치의 일 예인 환형 링의 사시도이다.
도 9a 및 도 9b는 본 발명에 따른 샤워 헤드에 채용되는 간격 조절 장치의 다른 예인 환형 링을 도시한 도면들이다.
도 10은 본 발명에 따른 샤워 헤드에 채용될 수 있는 변형된 제1 배플 플레이트의 일 예를 보여주는 평면도이다.
도 11은 본 발명에 따른 샤워 헤드에 채용될 수 있는 변형된 제2 배플 플레이트의 평면도이다.
도 12a 및 도 12b는 도 9a의 환형 링을 사용하여 제2 갭의 폭을 조절하는 방법을 설명하기 위한 도면들이다.
도 13a 및 도 13b는 도 11의 13A - 13A 단면에 대응되는 도면들이다.
도 14a 및 도 14b는 본 발명에 따른 샤워 헤드에 채용될 수 있는 변형된 제1 배플 플레이트의 다른 예를 보여주는 평면도이다.
도 15는 본 발명의 다른 실시예에 따른 샤워 헤드의 요부 구성을 개략적으로 도시한 것이다.
도 16a 내지 도 16c는 각각 본 발명의 또 다른 실시예에 따른 샤워 헤드의 요부 구성을 개략적으로 도시한 것들이다.
도 17은 본 발명의 또 다른 실시예에 따른 샤워 헤드의 요부 구성을 개략적으로 도시한 것이다.
도 18은 도 17의 샤워 헤드에 포함된 제1 배플 플레이트의 평면도이다.
도 19는 도 17의 샤워 헤드에 포함된 제2 배플 플레이트의 평면도이다.
도 20a 내지 도 20c는 각각 도 17의 샤워 헤드에 포함된 제1 배플 플레이트 및 제2 배플 플레이트가 서로 다른 회전 거리를 가지고 접하고 있을 때 상기 제2 배플 플레이트의 저면에서 본 평면도들이다.
도 21은 본 발명의 또 다른 실시예에 따른 샤워 헤드의 요부 구성을 설명하기 위한 단면도이다.
도 22는 도 21의 샤워 헤드에 포함된 제1 배플 플레이트의 평면도이다.
도 23은 도 21의 샤워 헤드에 포함된 제2 배플 플레이트의 평면도이다.
도 24는 도 21의 "A"로 표시된 부분을 확대하여 도시한 도면이다.
<도면의 주요 부분에 대한 부호의 설명>
10: 상부 플레이트, 12: 가스 유입구, 20: 페이스 플레이트, 22: 관통홀, 30: 제1 배플 플레이트, 31: 중심축, 32: 제1 관통홀, 34: 제2 관통홀, 40: 제2 배플 플레이트, 42: 관통홀, 50: 가이드 배플 플레이트, 50a: 상면, 50b: 저면, 51: 중심축, 52: 유입구, 53: 경로, 54: 유출구, 60: 제3 배플 플레이트, 62: 관통홀, 70: 제1 갭, 80: 제2 갭, 90: 환형 링, 92: 제1 스페이서 링, 94: 제2 스페이서 링, 130: 변형된 제1 배플 플레이트, 132: 스페이서 링 결합부, 140: 변형된 제2 배플 플레이트, 140a: 상면 중심부, 142: 스페이서 링 결합부, 190: 환형 링, 192: 톱니형 기어, 194: 환형 접촉부, 230: 변형된 제1 배플 플레이트, 231: 중심축, 232: 베이스 플레이트, 234: 인서트 플레이트, 235: 관통홀, 236: 그루브, 237: 제1 관통홀, 238: 제2 관통홀, 292: 제1 구동 샤프트, 294: 제2 구동 샤프트, 312: 제1 스테핑 모터, 314: 제2 스테핑 모터, 332: 중심홀, 334: 원형 공간, 350:중심홀, 352: 내접 스크류부, 354: 스토퍼, 372: 외접 스크류부, 382: 샤프트, 384: 외향 플랜지, 392: 승강 기구, 394: 회전 기구, 430: 제1 배플 플레이트, 440: 제2 배플 플레이트, 480: 구동 샤프트, 490: 회전 기구, 530: 제1 배플 플레이트, 540: 제2 배플 플레이트, 572: 압전층, 574: 제1 전극, 576: 제2 전극, 578: 절연층, 582, 584, 586: 압전 소자, 590: 파워 공급부.
상기 목적들을 달성하기 위하여, 본 발명에서는 반응 챔버 내의 프로세스 영역에서 반응 가스의 분포량을 조절할 수 있는 샤워 헤드를 제공한다. 본 발명의 제1 양태에 따른 샤워 헤드에서, 상부 플레이트(top plate)는 외부로부터 공급되는 상기 반응 가스를 상기 반응 챔버 내로 유입시키기 위한 가스 유입구가 형성되어 있다. 페이스 플레이트(face plate)는 복수의 관통홀이 형성되어 있고 상기 프로세스 영역에 대면하고 있다. 제1 배플 플레이트는 상기 상부 플레이트와 상기 페이스 플레이트와의 사이에 상하 이동 가능하게 설치되고, 상기 반응 가스의 제1 횡방향 유동 통로를 형성하는 제1 갭(gap)을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있다. 제2 배플 플레이트는 상기 제1 배플 플레이트와 상기 페이스 플레이트와의 사이에 상하 이동 가능하게 설치되고, 상기 제1 배플 플레이트와의 사이에서 상기 반응 가스의 제2 횡방향 유동 통로를 형성하는 제2 갭(gap)을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있다. 간격 조절 장치는 상기 제1 갭 및 제2 갭의 폭을 결정하기 위하여 사용된다.
상기 제1 배플 플레이트에 형성된 복수의 관통홀은 상기 제1 배플 플레이트의 중심축에 근접하게 위치하고 상기 중심축으로부터 제1 거리 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀과, 상기 제1 배플 플레이트의 에지에 근접하게 위치하고 상기 중심축으로부터 상기 제1 거리보다 큰 제2 거리 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀로 구성된다.
상기 간격 조절 장치는 상기 제1 관통홀을 통과하는 반응 가스의 양이 상기 제2 관통홀을 통과하는 반응 가스의 양보다 많아지도록 상기 제1 갭의 폭을 충분히 작게 하기 위하여 상기 제1 배플 플레이트의 위치를 결정한다.
또한, 상기 간격 조절 장치는 상기 제2 관통홀을 통과하는 반응 가스의 양이 증가될 수 있도록 상기 제1 갭의 폭을 증가시키기 위하여 상기 제1 배플 플레이트의 위치를 결정한다.
또한, 상기 간격 조절 장치는 상기 제2 배플 플레이트에 형성된 복수의 관통홀을 통과하는 반응 가스의 유량이 상기 프로세스 영역 내에서 전체적으로 일정하게 될 수 있도록 상기 제2 갭의 폭을 충분히 증가시키기 위하여 상기 제2 배플 플레이트의 위치를 결정한다.
또한, 상기 간격 조절 장치는 상기 제2 배플 플레이트에 형성된 복수의 관통홀을 통과하는 반응 가스의 유량이 상기 프로세스 영역 내의 위치에 따라 선택적으로 불균일하게 되도록 상기 제2 갭의 폭을 감소시키기 위하여 상기 제2 배플 플레이트의 위치를 결정한다.
본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 간격 조절 장치는 상기 제1 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트의 상면에 설치되는 제1 스페이서 링과, 상기 제2 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트와 제2 배플 플레이트와의 사이에 설치되는 제2 스페이서 링으로 이루어진다. 상기 제1 스페이서 링은 상기 제1 배플 플레이트의 상면에서 그 에지 부분에 설치되고, 상기 제2 스페이서 링은 상기 제2 배플 플레이트의 상면에서 그 에지 부분에 설치된다. 상기 제1 스페이서 링 및 제2 스페이서 링은 각각 1개 또는 2개 이상의 환형 링으로 이루어질 수 있다.
상기 제1 스페이서 링 및 제2 스페이서 링중 적어도 하나는 복수의 톱니형 기어가 형성된 환형 접촉부를 가질 수 있다. 상기 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가진다. 상기 제1 스페이서 링은 상기 제1 배플 플레이트와 대향되는 면에 형성된 복수의 톱니형 기어로 이루어지는 환형 접촉부를 가질 수 있다. 이 경우, 상기 제1 배플 플레이트는 상기 제1 스페이서 링과 대향되는 면에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부를 포함한다. 또는, 상기 제2 스페이서 링은 상기 제2 배플 플레이트와 대향되는 면에 형성된 복수의 톱니형 기어로 이루어지는 환형 접촉부를 가질 수 있다. 이 경우, 상기 제2 배플 플레이트는 상기 제2 스페이서 링과 대향되는 면에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부를 포함한다.
본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 제1 배플 플레이트는 전면적으로 균일한 두께를 가지는 단일의 원판형 부재로 이루어질 수 있다.
또한, 본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 제1 배플 플레이트는 복수의 관통홀이 형성되어 있고, 상면의 중심부에 원형 공간을 제공하는 그루브(groove)가 형성되어 있는 원판형 베이스 플레이트와, 상기 그루브 내에서 상기 제1 배플 플레이트의 중심축을 중심으로 회전 가능하게 삽입되고, 상기 베이스 플레이트에 형성된 복수의 관통홀중 일부와 연통 가능한 복수의 관통홀이 형성되어 있는 원판형 인서트 플레이트로 이루어질 수 있다. 상기 베이스 플레이트에 형성된 복수의 관통홀은 상기 제1 배플 플레이트의 중심축에 근접하게 위치하고 상기 중심축으로부터 상기 인서트 플레이트의 반경 길이보다 작은 제1 거리 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀과, 상기 베이스 플레이트의 에지에 근접하게 위치하고 상기 중심축으로부터 상기 인서트 플레이트의 반경 길이보다 큰 제2 거리 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀로 구성된다. 상기 제1 관통홀과 상기 인서트 플레이트에 형성된 관통홀은 상기 인서트 플레이트의 회전 거리에 따라 연통(communication) 가능하다. 상기 인서트 플레이트의 회전 거리에 따라 상기 제1 관통홀의 개구율(opening ratio)을 변화시킬 수 있도록 하기 위하여, 상기 인서트 플레이트에 형성된 복수의 관통홀과 상기 베이스 플레이트에 형성된 복수의 제1 관통홀은 각각 상기 제1 배플 플레이트의 중심축을 기준으로 일부 각도 범위에만 선택적으로 형성되어 있다.
본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 제1 배플 플레이트와 동축으로 상기 제1 배플 플레이트 위에 설치되고, 상기 상부 플레이트를 통하여 공급되는 반응 가스가 유입되는 유입구와, 상기 유입구를 통하여 유입된 반응 가스를 복수의 경로를 통하여 상기 제1 갭으로 유출시키기 위한 복수의 유출구가 형성되어 있는 가이드(guide) 배플 플레이트를 더 포함할 수 있다. 이 때, 상기 제1 갭의 폭은 상기 가이드 배플 플레이트의 저면과 상기 제1 배플 플레이트의 상면에 의하여 한정된다. 상기 가이드 배플 플레이트에 형성된 복수의 유출구는 각각 상기 가이드 배플 플레이트의 중심축으로부터 소정 거리 만큼 방사 방향으로 이격된 위치에 형성되어 있다.
상기 가이드 배플 플레이트를 포함하는 샤워 헤드에 있어서, 상기 제1 배플 플레이트에 형성된 복수의 관통홀은 상기 제1 배플 플레이트의 중심축에 근접하게 위치하고 상기 중심축으로부터 제1 거리 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀과, 상기 제1 배플 플레이트의 에지에 근접하게 위치하고 상기 중심축으로부터 상기 제1 거리보다 큰 제2 거리 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀로 구성된다. 상기 가이드 배플 플레이트에형성된 복수의 유출구는 각각 상기 가이드 배플 플레이트의 중심축으로부터 상기 제1 거리보다 크고 상기 제2 거리보다 작은 제3 거리 만큼 방사 방향으로 이격된 위치에 형성되어 있다. 바람직하게는, 상기 유출구로부터 상기 제1 관통홀까지의 거리는 상기 유출구로부터 상기 제2 관통홀까지의 거리보다 더 작다.
또한, 상기 게이드 배플 플레이트를 포함하는 샤워 헤드에 있어서, 상기 간격 조절 장치는 상기 제1 갭의 폭을 결정하기 위하여 상기 가이드 배플 플레이트와 상기 제1 배플 플레이트와의 사이에 설치되는 제1 스페이서 링과, 상기 제2 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트와 상기 제2 배플 플레이트와의 사이에 설치되는 제2 스페이서 링으로 이루어진다.
본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 간격 조절 장치는 상기 제1 갭의 폭을 결정하기 위하여 상기 가이드 배플 플레이트를 선택적으로 상하 이동시키기 위한 제1 구동 샤프트와, 상기 제2 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트를 선택적으로 상하 이동시키기 위한 제2 구동 샤프트를 포함할 수 있다. 상기 제1 구동 샤프트와 상기 제2 구동 샤프트는 동축으로 설치되어 있다.
또한, 본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 간격 조절 장치는 상기 제2 갭의 폭을 결정하기 위하여 제1 스테핑 모터를 이용하여 상기 제1 배플 플레이트를 상하 이동시키기 위한 승강 기구와, 상기 제1 갭의 폭을 결정하기 위하여 상기 제2 스테핑 모터를 이용하여 상기 가이드 배플 플레이트를 기어 구동(gear drive)에 의하여 상하 이동시키기 위한 회전 기구를 포함할 수 있다. 상기 승강 기구와 회전 기구는 일체로 결합되어 있다.
상기 승강 기구는 상기 제1 스테핑 모터로부터 전달되는 동력에 의해 상하 이동 가능하고 상기 가이드 배플 플레이트 및 상기 제1 배플 플레이트를 관통하여 연장되는 샤프트와, 상기 샤프트의 일단에 형성되어 있고 상기 샤프트의 상하 이동에 따라 상기 제1 배플 플레이트를 종동적으로 상하 이동시키기 위한 외향 플랜지(outward flange)로 이루어진다. 상기 회전 기구는 상기 제2 스테핑 모터로부터 전달되는 동력에 의해 회전 가능한 상기 샤프트와, 상기 샤프트의 회전에 따라 상기 가이드 배플 플레이트를 상승 또는 하강시키기 위하여 상기 샤프트의 외주에서 상기 가이드 배플 플레이트가 결합되는 위치에 형성되어 있는 외접 스크류부로 이루어진다. 상기 제1 배플 플레이트의 중심부에는 상기 샤프트의 일단에 형성되어 있는 외향 플랜지를 수용하기 위한 원형 공간이 형성되어 있다. 상기 원형 공간은 상기 가이드 배플 플레이트를 상하 이동시키기 위하여 상기 샤프트가 상기 회전 기구에 의하여 회전될 때 상기 제1 배플 플레이트가 상기 외향 플랜지의 회전력에 영향받지 않도록 상기 외향 플랜지를 마찰없이 수용한다. 상기 가이드 배플 플레이트의 중심부에는 상기 샤프트가 관통되는 중심홀이 형성되어 있고, 상기 중심홀의 내벽에는 상기 샤프트의 외접 스크류부와 치합(齒合)되는 내접 스크류부가 형성되어 있다. 상기 내접 스크류는 상기 제1 배플 플레이트를 상하 이동시키기 위하여 상기 샤프트가 상기 승강 기구에 의하여 상하 이동할 때 상기 가이드 배플 플레이트가 상기 샤프트의 이동에 종동하여 상하 이동되도록 상기 외접 스크류부와 치합된다. 상기 회전 기구에 의해 상기 샤프트가 회전될 때 상기 가이드 배플 플레이트의 회전을 방지하기 위한 스토퍼(stopper)를 더 포함할 수 있다.
본 발명의 제1 양태에 따른 샤워 헤드에 있어서, 상기 제1 배플 플레이트에 형성된 복수의 관통홀중 일부와 상기 제2 배플 플레이트에 형성된 복수의 관통홀중 일부가 연통되어 얼라인홀(align hole)이 형성되도록 상기 제1 배플 플레이트와 제2 배플 플레이트가 서로 접해 있는 구성이 가능하다. 이 구성에 있어서, 상기 제2 배플 플레이트에 대하여 상기 제1 배플 플레이트가 소정의 각도 범위에서 회전 가능하도록 상기 제1 배플 플레이트에 연결되어 있는 회전 기구를 더 포함한다. 상기 제1 배플 플레이트에 형성된 복수의 관통홀은 상기 제1 배플 플레이트의 중심축으로부터 반경 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있다. 상기 제2 배플 플레이트에 형성된 복수의 관통홀은 상기 제2 배플 플레이트의 중심축을 기준으로 회전 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있다. 상기 얼라인홀의 개구 위치를 변화시키기 위하여 상기 회전 기구는 상기 제1 배플 플레이트의 회전 거리를 변화시킨다. 상기 제1 배플 플레이트는 그 중심축을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역으로 구분되고, 상기 제1 배플 플레이트의 각 부채꼴 영역에서는 상기 중심축으로부터 선택된 반경 거리만큼 이격되어 있는 소정 범위 내에만 상기 복수의 관통홀이 형성되어 있다. 상기 제2 배플 플레이트는 그 중심축을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역으로 구분되고, 상기 제2 배플 플레이트의 복수의 부채꼴 영역중 상기 복수의 관통홀이 형성된 부채꼴 영역이 규칙적인 간격으로 위치하도록 배치되어 있다. 이 구성에 있어서, 상기 간격 조절 장치는 상기 제1 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트 및제2 배플 플레이트를 동시에 상하 이동시키기 위한 구동 샤프트를 포함한다.
본 발명의 제2 양태에 따른 샤워 헤드에 있어서, 상부 플레이트(top plate)는 외부로부터 공급되는 상기 반응 가스를 상기 반응 챔버 내로 유입시키기 위한 가스 유입구가 형성되어 있다. 페이스 플레이트(face plate)는 복수의 관통홀이 형성되어 있고 상기 프로세스 영역에 대면하고 있다. 제1 배플 플레이트는 상기 상부 플레이트와 상기 페이스 플레이트와의 사이에 설치되고, 복수의 관통홀이 형성되어 있다. 제2 배플 플레이트는 상기 제1 배플 플레이트와 상기 페이스 플레이트와의 사이에 설치되고, 상기 제1 배플 플레이트와의 사이에서 상기 반응 가스의 횡방향 유동 통로를 형성하는 갭(gap)을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있다. 상기 갭을 통한 반응 가스의 유량을 제어하기 위하여 복수의 압전 소자(piezoelectric element)가 상기 제2 배플 플레이트의 상면에 설치되어 있다. 파워 공급부는 상기 압전 소자에 전압을 인가한다.
상기 복수의 압전 소자는 각각 2개의 주면을 포함하고 전압 인가에 따라 두께 팽창 진동 모드로 진동하는 압전층과, 상기 압전층의 2개의 주면상에 각각 형성된 제1 전극층 및 제2 전극층과, 상기 제1 배플 플레이트에 인접하게 위치된 상기 제1 전극층상에 형성된 절연층을 포함한다. 상기 제2 전극은 상기 제2 배플 플레이트에 의해 구성된다.
상기 복수의 압전 소자는 각각 상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 관통홀이 형성된 위치에 대응하는 위치에 형성되어 있다.
상기 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절되는 상기 압전 소자의 두께 팽창율에 의해 상기 제1 배플 플레이트의 관통홀로부터 상기 갭으로 유출되는 상기 반응 가스의 유량을 조절한다. 또한, 상기 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절되는 상기 압전 소자의 두께 팽창율에 의해 상기 제1 배플 플레이트의 관통홀을 선택적으로 개방 또는 폐쇄시킨다.
상기 제1 배플 플레이트에서 상기 관통홀은 상기 제1 배플 플레이트의 중심축으로부터 소정의 반경 거리 만큼 이격된 제1 위치에 형성되고, 상기 압전 소자는 상기 제2 배플 플레이트상에서 상기 제1 위치에 대응하는 위치에 형성된 환형 소자로 이루어진다.
본 발명의 제2 양태에 따른 샤워 헤드에 있어서, 상기 제2 배플 플레이트와 상기 페이스 플레이트 사이에 설치되고, 복수의 관통홀이 형성되어 있는 제3 배플 플레이트를 더 포함할 수 있다. 상기 제3 배플 플레이트는 상기 샤워 헤드를 전기적으로 안정화시키기에 충분한 저항율을 가지는 고저항 물질로 이루어진다.
본 발명의 제3 양태에 따른 샤워 헤드에 있어서, 제1 배플 플레이트는 외부로부터 공급되는 상기 반응 가스의 유량을 중심축으로부터 반경 거리에 따라 선택적으로 조절하기 위하여 상기 중심축으로부터 제1 반경 거리 범위에 있는 복수의 제1 관통홀과 상기 제1 반경 거리 범위보다 큰 제2 반경 거리 범위에 있는 복수의 제2 관통홀이 형성되어 있다. 제2 배플 플레이트는 상기 제1 배플 플레이트와의 사이에서 횡방향 유동 통로를 형성하는 갭(gap)이 형성되도록 상기 제1 배플 플레이트의 아래에 설치되어 있고, 복수의 관통홀이 형성되어 있다. 간격 조절 장치는 상기 갭의 폭을 조절하기 위하여 상기 제1 배플 플레이트 및 제2 배플 플레이트중 적어도 하나를 이동시킨다.
상기 간격 조절 장치는 상기 갭의 폭을 결정하기 위하여 소정의 두께를 가지고 상기 제1 배플 플레이트와 제2 배플 플레이트와의 사이에 설치되는 스페이서 링을 포함한다. 상기 스페이서 링은 1개 또는 2개 이상의 환형 링으로 이루어진다.
상기 간격 조절 장치를 구성하는 상기 스페이서 링은 복수의 톱니형 기어가 형성된 환형 접촉부를 가지는 구성을 채용할 수 있다. 상기 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가진다. 상기 스페이서 링의 환형 접촉부는 상기 제1 배플 플레이트의 저면과 접할 수 있다. 이 때, 상기 제1 배플 플레이트의 저면에는 그 에지부에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부가 형성되어 있다. 바람직하게는, 상기 제1 배플 플레이트의 스페이서 링 결합부는 상기 제1 배플 플레이트의 저면 중심부의 두께보다 더 작은 두께를 가지는 부분을 포함한다. 또는, 상기 스페이서 링의 환형 접촉부는 상기 제2 배플 플레이트의 상면과 접할 수 있다. 상기 제2 배플 플레이트의 상면에는 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부가 형성된다. 바람직하게는, 상기 제2 배플 플레이트의 스페이서 링 결합부는 상기 제2 배플 플레이트의 상면 중심부의 두께보다 더 작은 두께를 가지는 부분을 포함한다.
본 발명의 제4 양태에 따른 샤워 헤드에 있어서, 원형의 제1 배플 플레이트는 복수의 관통홀이 형성되어 있다. 원형의 제2 배플 플레이트는 소정의 폭을 가지는 갭을 사이에 두고 상기 제1 배플 플레이트의 아래에 설치되고 복수의 관통홀이 형성되어 있다. 압전 소자는 상기 제1 배플 플레이트에 형성된 관통홀을 통한 반응 가스의 유량을 제어하기 위하여 상기 제1 배플 플레이트와 제2 배플 플레이트 사이에 설치되어 있다.
상기 제1 배플 플레이트에 형성된 복수의 관통홀은 상기 제1 배플 플레이트의 중심축으로부터 제1 반경 거리 만큼 이격된 위치에 형성된 복수의 제1 관통홀과, 상기 제1 배플 플레이트의 중심축으로부터 상기 제1 반경 거리보다 큰 제2 반경 거리 만큼 이격된 위치에 형성된 복수의 제2 관통홀과, 상기 제1 배플 플레이트의 중심축으로부터 상기 제2 반경 거리보다 큰 제3 반경 거리 만큼 이격된 위치에 형성된 복수의 제3 관통홀로 이루어진다.
상기 제2 배플 플레이트에 형성된 복수의 관통홀은 상기 제2 배플 플레이트의 중심축 위치에 형성된 제4 관통홀과, 상기 제2 배플 플레이트의 중심축으로부터 제4 반경 거리 만큼 이격된 위치에 형성된 복수의 제5 관통홀과, 상기 제2 배플 플레이트의 중심축으로부터 상기 제4 반경 거리보다 큰 제5 반경 거리 만큼 이격된 위치에 형성된 복수의 제6 관통홀과, 상기 제2 배플 플레이트의 중심축으로부터 상기 제5 반경 거리보다 큰 제6 반경 거리 만큼 이격된 위치에 형성된 복수의 제7 관통홀로 이루어진다.
상기 압전 소자는 상기 제2 배플 플레이트 위에 형성된 복수의 환형 소자로 이루어진다. 바람직하게는, 상기 압전 소자는 상기 제2 배플 플레이트의 위에 접합되어 있다.
상기 압전 소자는 상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 제1 관통홀이 형성된 위치에 대응되는 위치에 설치되는 제1 압전 소자와, 상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 제2 관통홀이 형성된 위치에 대응되는 위치에 설치되는 제2 압전 소자와, 상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 제3 관통홀이 형성된 위치에 대응되는 위치에 설치되는 제3 압전 소자로 이루어진다.
본 발명의 제4 양태에 따른 샤워 헤드는 상기 압전 소자에 전압을 인가하기 위한 파워 공급부를 더 포함할 수 있다. 상기 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절 가능한 두께 팽창율을 가진다.
본 발명에 의하면, 간격 조절 장치에 의하여 각 배플 플레이트 사이에 형성되는 갭의 폭을 선택적으로 감소 또는 증가시킴으로써, 반응 챔버의 프로세스 영역에서 웨이퍼상의 위치에 따라 공급되는 반응 가스의 양을 조절할 수 있을 뿐 만 아니라 웨이퍼상의 위치에 따른 반응 가스의 유량을 필요에 따라 균일 또는 불균일하게 되도록 조절할 수 있다. 따라서, 반도체 소자의 제조 공정시 웨이퍼상의 전면에 걸쳐서 최적화된 식각율 균일도를 얻기 위하여 웨이퍼상의 위치에 따라 반응 가스 분포량을 용이하게 조절할 수 있다. 또한, 웨이퍼상의 위치에 따라 원하는 대로 반응 가스 공급량을 조절함으로써 식각 단계중 웨이퍼상에서 부분적으로 발생할 수 있는 식각율 균일도 저하를 미리 보상할 수 있다.
다음에, 본 발명의 바람직한 실시예들에 대하여 첨부 도면을 참조하여 상세히 설명한다.
도 1은 웨이퍼에 대하여 플라즈마 방식에 의하여 식각 처리하기 위하여 반응 챔버 내의 프로세스 영역에 반응 가스를 공급하는 데 사용하기 위한 본 발명의 일 실시예에 따른 샤워 헤드의 구성을 개략적으로 도시한 단면도이다.
도 1을 참조하면, 본 발명에 따른 샤워 헤드는 외부로부터 공급되는 반응 가스를 상기 반응 챔버 내로 유입시키기 위한 가스 유입구(12)가 형성되어 있는 상부 플레이트(top plate)(10)와, 상기 반응 챔버 내의 프로세스 영역에 대면하고 있는 페이스 플레이트(face plate)(20)를 포함하고 있다. 상기 상부 플레이트(10)는 상기 반응 챔버의 상부 벽을 구성한다.
도 2는 상기 페이스 플레이트(20)의 저면을 상기 반응 챔버의 프로세스 영역측에서 보았을 때의 평면도이다. 도 2에 도시한 바와 같이, 상기 페이스 플레이트(20)에는 복수의 관통홀(22)이 균일하게 형성되어 있다.
다시 도 1을 참조하면, 상기 상부 플레이트(10)와 상기 페이스 플레이트(20)와의 사이에는 제1 배플 플레이트(30) 및 제2 배플 플레이트(40)가 상기 페이스 플레이트(20)와 동축으로 설치되어 있다. 상기 제1 배플 플레이트(30) 및 제2 배플 플레이트(40)는 상기 상부 플레이트(10)와 상기 페이스 플레이트(20)와의 사이에 설치된다. 상기 제1 배플 플레이트(30)의 상면에는 제1 스페이서 링(92)으로 이루어지는 간격 조절 장치가 설치되어 있으며, 상기 제1 배플 플레이트(30)와 제2 배플 플레이트(40) 사이는 제2 스페이서 링(94)으로 이루어지는 간격 조절 장치가 설치되어 있다. 상기 제1 배플 플레이트(30) 및 제2 배플 플레이트(40)는 상기 제1 스페이서 링(92) 및 제2 스페이서 링(94)의 두께를 조절함으로써 상대적으로 상하이동되어 그 상대적인 위치가 결정될 수 있다. 이에 대한 상세한 설명은 후술한다.
상기 제1 배플 플레이트(30)는 전면적으로 균일한 두께를 가지는 단일의 원판형 부재로 이루어진다. 상기 제1 배플 플레이트(30)에는 도 3에 도시된 바와 같이 복수의 관통홀(32, 34)이 형성되어 있다. 상기 복수의 관통홀(32, 34)은 상기 제1 배플 플레이트(30)의 중심축(31)에 근접하게 위치하고 상기 중심축(31)으로부터 제1 거리(d1) 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀(32)과, 상기 제1 배플 플레이트(30)의 에지에 근접하게 위치하고 상기 중심축(31)으로부터 상기 제1 거리(d1)보다 큰 제2 거리(d2) 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀(34)로 구성된다. 상기 제2 배플 플레이트(40)에는 도 4에 도시된 바와 같이 복수의 관통홀(42)이 전면에 걸쳐 균일한 밀도로 형성되어 있다. 상기 제1 배플 플레이트(30) 및 제2 배플 플레이트(40)는 알루미늄으로 이루어질 수 있다.
도 1에 도시된 바와 같이, 상기 제1 배플 플레이트(30) 위에는 상기 제1 배플 플레이트(30)와 동축으로 가이드(guide) 배플 플레이트(50)가 설치되어 있다. 상기 가이드 배플 플레이트(50)의 구성을 도 5a 내지 도 5c에 개략적으로 도시하였다. 도 5a 내지 도 5c에 도시된 바와 같이, 상기 가이드 배플(50)의 상면(50a)에는 상기 상부 플레이트(10)를 통하여 공급되는 반응 가스가 유입되는 유입구(52)가 1개 형성되어 있다. 상기 유입구(52)를 통하여 상기 가이드 배플 플레이트(50) 내로 유입된 반응 가스는 복수의 경로(53)를 통과하여 저면(50b)에 형성되어 있는 복수의 유출구(54)로 유출된다.
상기와 같이 구성된 샤워 헤드에 있어서, 도 1에 도시한 바와 같이 상기 제1 배플 플레이트(30)와 상기 가이드 배플 플레이트(50) 사이에는 상기 반응 챔버 내로 유입되는 반응 가스의 제1 횡방향 유동 통로를 형성하는 제1 갭(gap)(70)이 형성된다. 상기 제1 갭(70)의 폭은 상기 가이드 배플 플레이트(50)의 저면(50b)과 상기 제1 배플 플레이트(30)의 상면에 의하여 한정된다. 또한, 상기 제1 배플 플레이트(30)와 상기 제2 배플 플레이트(40) 사이에는 상기 반응 가스의 제2 횡방향 유동 통로를 형성하는 제2 갭(80)이 형성된다. 상기 제2 갭(80)의 폭은 상기 제1 배플 플레이트(30)의 저면과 상기 제2 배플 플레이트(40)의 상면에 의하여 한정된다.
도 6은 상기 가이드 배플 플레이트(50), 제1 배플 플레이트(30) 및 제2 배플 플레이트(40)에 있어서, 각각의 플레이트에 형성된 관통홀의 상호 위치 관계를 보다 상세히 설명하기 위한 도면이다.
도 6을 참조하면, 상기 가이드 배플 플레이트(50)에 형성된 복수의 유출구(54)는 각각 상기 가이드 배플 플레이트(50)의 중심축(51)으로부터 상기 제1 관통홀(32)이 형성되어 있는 상기 제1 거리(d1)보다 크고 상기 제2 관통홀(34)이 형성되어 있는 제2 거리(d2)보다 작은 제3 거리(d3) 만큼 방사 방향으로 이격된 위치에 형성되어 있다. 상기 가이드 배플 플레이트(50)의 유출구(54)로부터 상기 제1 배플 플레이트(30)의 제1 관통홀(32)까지의 거리는 상기 유출구(54)로부터 상기 제1 배플 플레이트(30)의 제2 관통홀(34)까지의 거리보다 더 작도록 형성하는 것이바람직하다. 그 이유는, 상기 가이드 배플 플레이트(50)와 제1 배플 플레이트(30) 사이에 형성되는 제1 갭(70)의 폭을 조절함으로써 선택적으로 상기 제1 배플 플레이트(30)의 제2 관통홀(34)로의 유량보다 제1 관통홀(32)로의 유량을 더 크게 조절하거나, 또는 상기 제1 관통홀(32) 및 제2 관통홀(34)에서의 유량을 일정하게 조절하는 것이 가능하도록 하기 위함이다. 즉, 상기 유출구(54)는 상기 제1 관통홀(32)과 더 가깝게 위치되어 있으므로, 상기 제1 갭(70)의 폭이 작아질수록 상기 유출구(54)로부터 나오는 반응 가스를 상기 제1 관통홀(32)로 유도하기가 더욱 용이하여 상기 제1 관통홀(32)을 통과하는 유량이 상기 제2 관통홀(34)을 통과하는 유량보다 커질 수 있다. 따라서, 상기 반응 챔버의 프로세스 영역에서는 웨이퍼의 에지 부분보다는 센터 부분에 더 많은 양의 반응 가스를 공급할 수 있다. 반대로, 상기 제1 갭(70)의 폭이 커지면, 상기 유출구(54)로부터 나오는 반응 가스가 상기 제2 관통홀(34)까지 확산되는 양이 증가하여 상기 제2 관통홀(34)을 통과하는 반응 가스의 유량이 증가된다.
상기 샤워 헤드를 전기적으로 안정화시키기 위하여, 상기 제2 배플 플레이트(40)와 상기 페이스 플레이트(20) 사이에 제3 배플 플레이트(60)가 설치된다. 상기 제3 배플 플레이트(60)는 상기 샤워 헤드를 전기적으로 안정화시키기에 충분한 저항율을 가지는 고저항 물질, 예를 들면 SiC(silicon carbide)로 이루어질 수 있다. 도 7에 도시한 바와 같이, 상기 제3 배플 플레이트(60)에는 복수의 관통홀(62)이 전면에 걸쳐 균일한 밀도로 형성되어 있다.
상기 제1 갭(70)의 폭은 상기 가이드 배플 플레이트(50)와 제1 배플 플레이트(30) 사이에서 상기 제1 배플 플레이트(30)의 에지 부분에 설치되는 간격 조절 장치인 제1 스페이서 링(92)에 의하여 결정된다. 또한, 상기 제2 갭(80)의 폭은 상기 제1 배플 플레이트(30)와 제2 배플 사이에서 그 에지 부분에 설치되는 간격 조절 장치인 제2 스페이서 링(94)에 의하여 결정된다.
도 8은 상기 제1 스페이서 링(92) 및 제2 스페이서 링(94)을 구현하기 위하여 채용 가능한 일 예로서 환형 링(90)을 도시한 사시도이다. 상기 제1 스페이서 링(92) 및 제2 스페이서 링(94)의 두께는 각각 이들을 구성하는 상기 환형 링(90)의 두께(t)에 의하여 결정된다. 상기 제1 갭(70) 및 제2 갭(80)의 폭을 원하는 정도로 조절하기 위하여, 상기 제1 스페이서 링(92) 및 제2 스페이서 링(94)은 각각 원하는 두께를 가지는 1개의 환형 링(90) 만을 사용하여 구성될 수도 있고, 일정한 두께를 가지는 2개 이상의 환형 링(90)을 중첩시켜 원하는 두께가 얻어지도록 할 수도 있다.
상기 제1 스페이서 링(92)의 두께에 따라 상기 제1 배플 플레이트(30)의 위치가 결정될 수 있으며, 그에 따라 상기 제1 갭(70)의 폭도 결정된다. 상기 제1 갭(70)의 폭이 작아질수록 상기 제1 배플 플레이트(30)에서 상기 제1 관통홀(32)을 통과하는 반응 가스의 양이 상기 제2 관통홀(34)을 통과하는 반응 가스의 양보다 많아진다. 또한, 상기 제1 갭(70)의 폭이 커질수록 상기 제1 배플 플레이트(30)에서 상기 제2 관통홀(34)을 통과하는 반응 가스의 양이 증가될 수 있다.
또한, 상기 제2 스페이서 링(94)의 두께에 따라 상기 제1 배플 플레이트(30)와 상기 제2 배플 플레이트(40) 사이에 형성되는 제2 갭(80)의 폭이 결정된다. 상기 제2 갭(80)의 폭이 작아질수록 상기 제2 배플 플레이트(40)에 형성된 복수의 관통홀(42)중에서 상기 제1 배플 플레이트(30)의 제1 관통홀(32) 또는 제2 관통홀(34)의 근방에 있는 관통홀(42)을 통과하는 반응 가스의 유량이 상대적으로 커지게 되어, 상기 복수의 관통홀(42)을 통과하는 반응 가스의 유량이 상기 프로세스 영역 내의 위치에 따라 선택적으로 불균일하게 된다. 반대로, 상기 제2 갭(80)의 폭이 충분히 커지면 상기 복수의 관통홀(42)을 통과하는 반응 가스의 유량이 상기 프로세스 영역 내에서 전체적으로 일정하게 될 수 있다.
도 9a는 상기 제1 스페이서 링(92) 및 제2 스페이서 링(94)을 구현하기 위하여 사용 가능한 다른 예로서 복수의 톱니형 기어(192)가 형성된 환형 접촉부(194)를 가지는 환형 링(190)을 도시한 사시도이다. 도 9b는 도 9a의 9B - 9B간 길이에 해당하는 상기 환형 링(190)을 평면적으로 펼쳐서 도시한 도면이다.
도 9a 및 도 9b를 참조하면, 상기 톱니형 기어(192)는 중심각(θ) 90°에 대응되는 원호 길이(l)와 동일한 피치를 가지도록 형성되어 있다. 상기 환형 접촉부(194)에 형성된 톱니형 기어(192)의 높이(h)는 약 0.01 ∼ 0.5mm이다.
상기 제1 갭(70) 내에 설치되는 상기 제1 스페이서 링(92)을 상기 환형 링(190)으로 구성하는 경우, 상기 톱니형 기어(192)가 형성된 환형 접촉부(194)가 상기 제1 배플 플레이트(30)와 대향하도록 설치될 수도 있고, 상기 가이드 배플 플레이트(50)와 대향하도록 설치될 수도 있다. 상기 제1 갭(70) 내에서 상기 환형 접촉부(194)가 상기 제1 배플 플레이트(30)와 대향하도록 설치되는 경우에는, 상기 제1 배플 플레이트(30)는 상기 환형 링(190)으로 이루어지는 제1 스페이서 링(92)과 대향되는 면에 상기 환형 접촉부(194)의 톱니형 기어(192)와 치합 가능한 스페이서 링 결합부가 형성되어야 한다. 도 10은 상기 환형 접촉부(194)와의 결합면을 구성하는 스페이서 링 결합부(132)가 형성되어 있는 변형된 제1 배플 플레이트(130)를 도시한 것이다. 상기 스페이서 링 결합부(132)에는 상기 환형 접촉부(194)의 톱니형 기어(192)와 치합 가능한 복수의 톱니형 기어(도시 생략)가 형성되어 있다. 상기 환형 링(190)에서와 마찬가지로, 상기 스페이서 링 결합부(132)에 형성된 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가진다. 또한, 상기 스페이서 링 결합부(132)에 형성된 톱니형 기어의 높이는 0.01 ∼ 0.5mm이다.
또한, 상기 제2 갭(80) 내에 설치되는 상기 제2 스페이서 링(94)을 상기 환형 링(190)으로 구성하는 경우, 상기 톱니형 기어(192)가 형성된 환형 접촉부(194)가 상기 제1 배플 플레이트(30)와 대향하도록 설치될 수도 있고, 상기 제2 배플 플레이트(40)와 대향하도록 설치될 수도 있다. 상기 제2 갭(80) 내에서 상기 환형 접촉부(194)가 상기 제2 배플 플레이트(40)와 대향하도록 설치되는 경우에는, 상기 제2 배플 플레이트(40)는 상기 환형 링(190)으로 이루어지는 제2 스페이서 링(94)과 대향되는 면에 상기 환형 접촉부(194)의 톱니형 기어(192)와 치합 가능한 스페이서 링 결합부가 형성되어야 한다. 도 11은 상기 환형 접촉부(194)와의 결합면을 구성하는 스페이서 링 결합부(142)가 형성되어 있는 변형된 제2 배플 플레이트(140)를 도시한 것이다. 상기 스페이서 링 결합부(142)에는 상기 환형 접촉부(194)의 톱니형 기어(192)와 치합 가능한 복수의 톱니형 기어(도시 생략)가 형성되어 있다. 상기 환형 링(190)에서와 마찬가지로, 상기 스페이서 링 결합부(142)에 형성된 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가진다. 또한, 상기 스페이서 링 결합부(142)에 형성된 톱니형 기어의 높이는 0.01 ∼ 0.5mm이다.
도 12a 및 도 12b는 상기 제1 배플 플레이트(30)와 상기 변형된 제2 배플 플레이트(140) 사이에 설치되는 상기 제2 스페이서 링(94)이 상기 환형 링(190)으로 구성된 경우, 상기 환형 링(190)을 이용하여 상기 제2 갭(80)의 폭을 조절하는 방법에 대하여 설명하기 위하여 요부만을 도시한 도면들이다. 도 12a는 상기 제2 갭(80)의 폭이 가장 작아지도록 설치된 경우를 도시한 것이다. 도 12a에서, 상기 환형 링(190)이 화살표 a 방향으로 회전되거나, 상기 변형된 제2 배플 플레이트(140)가 화살표 b 방향으로 회전되면 그 회전 거리에 따라 도 12b에 도시한 바와 같이 상기 제2 갭(80)의 폭이 Δw 만큼 증가될 수 있다. 따라서, 상기 환형 링(190) 또는 상기 변형된 제2 배플 플레이트(140)의 회전 거리를 조절함으로써 상기 제2 갭(80)의 폭을 원하는 정도로 맞출 수 있다.
도 13a 및 도 13b는 상기 변형된 제2 배플 플레이트(140)의 스페이서 링 결합부(142)를 설명하기 위한 단면도들로서, 각각 도 11의 13A - 13A 단면에 대응되는 도면들이다.
도 13a에 도시한 바와 같이, 상기 변형된 제2 배플 플레이트(140)의 스페이서 링 결합부(142)중에서 인접한 2개의 톱니형 기어가 서로 만나는 낮은 단차 부분(142a)은 상기 변형된 제2 배플 플레이트(140)의 상면 중심부(140a)의 두께보다 더 작은 두께를 가진다. 또한, 도 13b에 도시한 바와 같이, 상기 변형된 제2 배플 플레이트(140)의 스페이서 링 결합부(142)중에서 각 톱니형 기어의 가장 높은 부분(142b)은 상기 변형된 제2 배플 플레이트(140)의 상면 중심부(140a)의 두께보다 더 큰 두께를 가진다.
상기 환형 링(190)에 의하여 상기 제2 갭(80)의 폭을 결정하기 위하여 상기 환형 링(190)의 환형 접촉부(194)가 상기 제1 배플 플레이트(30)와 대향하도록 배치되는 경우에는 상기 제1 배플 플레이트(30)의 저면 에지부에는 상기 변형된 제2 배플 플레이트(140)의 상면 에지부에 형성되어 있는 상기 스페이서 링 결합부(142)와 같은 구성을 가지는 스페이서 링 결합부가 형성되어야 하며, 이에 대한 구체적인 구성은 상기 변형된 제2 배플 플레이트(140)에 대하여 설명한 바와 마찬가지로 적용될 수 있으므로, 그에 대한 상세한 설명은 생략한다. 단, 상기 환형 링(190)의 환형 접촉부(194)가 상기 제1 배플 플레이트(30)와 대향하도록 배치되는 경우에는 상기 환형 링(190)의 환형 접촉부(194)는 상기 제1 배플 플레이트(30)의 저면과 접하고, 상기 제1 배플 플레이트(30)의 스페이서 링 결합부는 상기 제1 배플 플레이트(30)의 저면 중심부의 두께보다 더 작은 두께를 가지는 부분을 포함한다.
여기서는 상기 환형 링(190)이 상기 제2 갭(80)의 폭을 조절하는 경우에 대하여만 설명하였으나, 상기 환형 링(190)을 이용하여 상기 제1 갭(70)의 폭을 조절하는 경우에도 상기 설명한 바와 같은 구성들이 동일하게 적용될 수 있음을 당 업자이면 잘 알 수 있을 것이다.
상기 실시예에서는 상기 제1 배플 플레이트(30)가 전면적으로 균일한 두께를가지는 단일의 원판형 부재로 이루어지는 경우에 대하여 설명하였다. 그러나, 상기 제1 배플 플레이트(30)는 필요에 따라 다양하게 구성될 수 있다.
도 14a 및 도 14b는 변형된 제1 배플 플레이트(230)의 구성을 설명하기 위한 도면들로서, 도 14a는 상기 변형된 제1 배플 플레이트(230)의 중심축(231)을 지나는 부분의 단면도이고, 도 14b는 상기 변형된 제1 배플 플레이트(230)를 분해하여 도시한 사시도이다.
도 14a 및 도 14b를 참조하면, 상기 변형된 제1 배플 플레이트(230)는 상면의 중심부에 원형 공간을 제공하는 그루브(groove)(236)가 형성되어 있는 원판형 베이스 플레이트(232)와, 상기 그루브(236) 내에서 상기 변형된 제1 배플 플레이트(230)의 중심축(231)을 중심으로 회전 가능하게 삽입되는 원판형 인서트 플레이트(insert plate)(234)로 이루어진다. 상기 인서트 플레이트(234)에는 상기 인서트 플레이트(234)를 소정 각도로 회전시키기 위한 구동 장치(도시 생략)가 연결되어 있다. 상기 베이스 플레이트(232)에는 상기 변형된 제1 배플 플레이트(230)의 중심축(231)에 근접하게 위치하고 상기 중심축(231)으로부터 상기 인서트 플레이트(234)의 반경 길이보다 작은 제1 거리(d1) 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀(237)과, 상기 베이스 플레이트(232)의 에지에 근접하게 위치하고 상기 중심축(231)으로부터 상기 인서트 플레이트의 반경 길이보다 큰 제2 거리(d2) 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀(238)로 구성된다. 상기 인서트 플레이트(234)에는 상기 베이스플레이트(232)에 형성된 복수의 제1 관통홀(237)과 연통(communication) 가능한 복수의 관통홀(235)이 형성되어 있다. 상기 인서트 플레이트(234)의 회전 거리에 따라 상기 제1 관통홀(237)의 개구율(opening ratio)을 변화시킬 수 있도록 하기 위하여, 상기 인서트 플레이트(234)에 형성된 복수의 관통홀(235)과 상기 베이스 플레이트(232)에 형성된 복수의 제1 관통홀(237)은 각각 상기 변형된 제1 배플 플레이트(230)의 중심축(231)을 기준으로 일부 각도 범위에만 선택적으로 형성되어 있다. 즉, 상기 인서트 플레이트(234)의 회전 거리에 따라 상기 베이스 플레이트(232)에 형성된 제1 관통홀(237)과 상기 인서트 플레이트(234)에 형성된 관통홀(235)의 일부 또는 전부가 연통 가능하게 된다.
상기와 같은 구성을 가지는 상기 변형된 제1 배플 플레이트(230)를 채용함으로써, 상기 인서트 플레이트(234)의 회전 거리에 따라 상기 베이스 플레이트(232)에 형성된 제1 관통홀(237)의 개구율을 변화시킴으로써 상기 반응 챔버의 프로세스 영역에서 웨이퍼상의 센터 부분으로 공급되는 반응 가스의 양을 필요에 따라 조절할 수 있다.
도 15는 본 발명의 다른 실시예에 따른 샤워 헤드의 요부 구성을 개략적으로 도시한 것이다. 도 15에 도시한 실시예에 있어서, 상기 제1 갭(70) 및 제2 갭(80)의 폭을 결정하기 위한 간격 조절 장치로서 각각 제1 구동 샤프트(292) 및 제2 구동 샤프트(294)를 사용한 것을 제외하고, 다른 구성들은 전술한 바와 같다. 즉, 도 15에 도시한 실시예에 있어서, 상기 간격 조절 장치는 제1 구동 샤프트(292) 및 제2 구동 샤프트(294)로 이루어진다. 상기 제1 구동 샤프트(292)는 상기 제1갭(70)의 폭을 결정하기 위하여 상기 가이드 배플 플레이트(50)를 선택적으로 상하 이동시킨다. 상기 제2 구동 샤프트(294)는 상기 제2 갭(80)의 폭을 결정하기 위하여 상기 제1 배플 플레이트(30)를 선택적으로 상하 이동시킨다. 상기 제1 구동 샤프트(292)와 상기 제2 구동 샤프트(294)는 동축으로 설치되어 있으며, 각각의 상하 이동량을 상대적으로 제어함으로써 상기 제1 갭(70) 및 제2 갭(80)의 폭을 결정하게 된다. 상기 제1 갭(70) 및 제2 갭(80)의 폭은 각각 상기 반응 챔버의 프로세스 영역에서 웨이퍼상의 센터 부분 및 에지 부분에 각각 공급되어야 할 반응 가스의 유량을 고려하여 결정한다. 상기 제1 구동 샤프트(292) 및 제2 구동 샤프트(294)를 이용하여 상기 제1 갭(70) 및 제2 갭(80)의 폭을 결정함으로써 상기 프로세스 영역에서 웨이퍼상의 센터 부분 또는 에지 부분 각각에서의 반응 가스 공급량을 원하는대로 조절할 수 있으며, 필요에 따라 반응 가스 공급량이 웨이퍼상의 전면에 걸쳐서 균일 또는 불균일하게 되도록 조절할 수 있다.
도 16a 내지 도 16c는 본 발명의 또 다른 실시예에 따른 샤워 헤드의 요부 구성을 개략적으로 도시한 도면들이다. 도 16a에 있어서, 상기 제1 갭(70) 및 제2 갭(80)의 폭을 결정하기 위한 간격 조절 장치로서 각각 승강 기구(392) 및 회전 기구(394)를 이용한다. 상기 승강 기구(392) 및 회전 기구(394)로 이루어지는 간격 조절 장치를 제외한 다른 부분들은 위에서 설명한 바와 같은 구성을 갖는다. 상기 승강 기구(392)는 상기 제2 갭(80)의 폭을 결정하기 위하여 제1 스테핑 모터(312)를 이용하여 상기 제1 배플 플레이트(30)를 상하 이동시킨다. 상기 회전 기구(394)는 상기 제1 갭(70)의 폭을 결정하기 위하여 상기 제2 스테핑 모터(314)를 이용하여 상기 가이드 배플 플레이트(50)를 기어 구동(gear drive)에 의하여 상하 이동시킨다.
상기 승강 기구(392)와 회전 기구(394)는 도 16a에 도시한 바와 같이 일체로 결합되어 있다. 상기 승강 기구(392)는 상기 제1 스테핑 모터(312)로부터 전달되는 동력에 의해 상하 이동 가능하다. 상기 승강 기구(392)는 상기 가이드 배플 플레이트(50) 및 상기 제1 배플 플레이트(30)를 관통하여 연장되는 샤프트(382)와, 상기 샤프트(382)의 일단에 형성되어 있고 상기 샤프트(382)의 상하 이동에 따라 상기 제1 배플 플레이트(30)를 종동적으로 상하 이동시키기 위한 외향 플랜지(outward flange)(384)를 포함한다.
또한, 상기 회전 기구(394)는 상기 제2 스테핑 모터(314)로부터 전달되는 동력에 의해 회전 가능한 상기 샤프트(382)와, 상기 샤프트(382)의 회전에 따라 상기 가이드 배플 플레이트(50)를 상승 또는 하강시키기 위하여 상기 샤프트(382)의 외주에서 상기 가이드 배플 플레이트(50)가 결합되는 위치에 형성되어 있는 외접 스크류부(372)를 포함한다.
도 16b에 도시한 바와 같이, 상기 가이드 배플 플레이트(50)의 중심부에는 상기 샤프트(382)가 관통되는 중심홀(350)이 형성되어 있고, 상기 중심홀(350)의 내벽에는 상기 샤프트(382)의 외접 스크류부(372)와 치합(齒合)되는 내접 스크류부(352)가 형성되어 있다.
도 16c에 도시한 바와 같이, 상기 제1 배플 플레이트(30)의 중심부에는 상기 샤프트(382)가 관통되는 중심홀(332)과, 상기 샤프트(382)의 일단에 형성되어 있는외향 플랜지(384)를 수용하기 위한 원형 공간(334)이 연통된 상태로 형성되어 있다.
상기 제2 갭(80)의 폭은 상기 승강 기구(392)를 이용하여 조절한다. 이 때, 상기 제1 배플 플레이트(30)를 상하 이동시키기 위하여 상기 승강 기구(392)를 이용하여 상기 샤프트(382)를 상하 이동시키면, 상기 가이드 배플 플레이트(50)에는 상기 외접 스크류부(372)와 치합되는 내접 스크류부(352)가 형성되어 있으므로, 상기 샤프트(382)의 상하 이동에 종동하여 상기 가이드 배플 플레이트(50)가 상하 이동된다. 따라서, 상기 샤프트(392)의 상하 이동시에는 상기 제1 배플 플레이트(30) 및 상기 가이드 배플 플레이트(50)가 동시에 상하 이동하게 된다.
상기 제1 갭(70)의 폭은 상기 회전 기구(394)를 이용하여 조절한다. 상기 회전 기구(394)를 이용하여 상기 샤프트(382)를 회전시키면 상기 샤프트(382)의 상기 외접 스크류부(372)와 상기 가이드 배플 플레이트(50)의 중심홀(350)에 형성되어 있는 내접 스크류부(352)와의 상호 작용에 의하여 상기 가이드 배플 플레이트(50)가 상승 또는 하강 이동하게 된다. 이 때, 상기 제1 배플 플레이트(30)에는 상기 외향 플랜지(384)의 회전 운동이 영향을 받지 않도록 상기 외향 플랜지(384)를 수용하기 위한 원형 공간(334)이 형성되어 있으므로, 상기 샤프트(382)가 상기 회전 기구(394)에 의하여 회전될 때 상기 제1 배플 플레이트(30)는 회전되지 않고 정지 상태로 있게 된다. 여기서, 상기 회전 기구(394)에 의해 상기 샤프트(382)가 회전될 때 상기 가이드 배플 플레이트(50)가 회전되지 않고 상승 또는 하강 이동만 할수 있도록 하기 위하여 상기 가이드 배플 플레이트(50)에는 상기 가이드 배플 플레이트(50)의 회전을 방지하기 위한 스토퍼(stopper)(354)가 연결되어 있다.
상기와 같은 구성에 있어서, 상기 승강 기구(392) 및 회전 기구(394)를 이용하여 각각 상기 제2 갭(80) 및 제1 갭(70)의 폭을 결정함으로써, 상기 프로세스 영역에서 웨이퍼상의 센터 부분 또는 에지 부분 각각에서의 반응 가스 공급량을 원하는대로 조절할 수 있으며, 필요에 따라 반응 가스 공급량이 웨이퍼상의 전면에 걸쳐서 균일 또는 불균일하게 되도록 조절할 수 있다.
도 17은 본 발명의 또 다른 실시예에 따른 샤워 헤드의 요부 구성을 개략적으로 도시한 것이다. 도 17에 있어서, 전술한 구성과 동일한 구성을 가지는 구성 요소에 대하여는 동일한 참조 부호로 표시하고, 그에 대한 상세한 설명은 생략한다.
도 17에 도시한 실시예에 있어서, 제1 배플 플레이트(430) 및 제2 배플 플레이트(440)는 서로 접해 있다. 따라서, 상기 제1 배플 플레이트(430)와 제2 배플 플레이트(440) 사이에 형성되는 제2 갭(80)의 폭은 실질적으로 0이다. 상기 가이드 배플 플레이트(50)와 상기 제1 배플 플레이트(430)와의 사이에 형성되는 제1 갭(70)의 폭을 결정하기 위하여, 상기 제1 배플 플레이트(430) 및 제2 배플 플레이트(440)를 동시에 상하 이동시키기 위한 구동 샤프트(480)가 설치되어 있다. 상기 구동 샤프트(480)에 의하여 상기 제2 배플 플레이트(440)가 상하 이동할 때 상기 제1 배플 플레이트(430)가 상기 제2 배플 플레이트(440)에 종동하여 상하 이동되며, 그에 따라 상기 가이드 배플 플레이트(50)의 저면과 상기 제1 배플 플레이트(430)의 상면에 의하여 상기 제1 갭(70)의 폭이 한정된다. 상기 가이드 배플 플레이트(50)에 대한 상세한 구성은 전술한 바와 같다.
상기 제1 배플 플레이트(430)에는 회전 기구(490)가 연결되어 있다. 상기 회전 기구(490)에 의하여 상기 제1 배플 플레이트(430)는 상기 제2 배플 플레이트(440)에 대하여 소정의 각도 범위에서 회전 가능하다. 즉, 상기 회전 기구(490)는 상기 제1 배플 플레이트(430)의 회전 각도를 변화시킴으로써 상기 제1 배플 플레이트(430)와 제2 배플 플레이트(440)가 서로 다양한 회전 각도를 가지고 서로 접할 수 있게 된다.
도 18은 상기 제1 배플 플레이트(430)의 평면도이다. 상기 제1 배플 플레이트(430)에는 복수의 관통홀(432)이 형성되어 있다. 상기 복수의 관통홀(432)은 상기 제1 배플 플레이트(430)의 중심축(431)으로부터 반경 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있다.
상기 제1 배플 플레이트(430)는 그 중심축(431)을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역(435a, 435b, 435c)으로 구분되어 있다. 상기 각 부채꼴 영역(435a, 435b, 435c)에서는 상기 중심축(431)으로부터 선택된 반경 거리 만큼 이격되어 있는 소정의 범위 내에만 상기 복수의 관통홀(432)이 형성되어 있다. 즉, 상기 부채꼴 영역(435a)에서는 상기 중심축(431)으로부터 제1 반경 거리(r1) 만큼 이격되어 있는 제1 범위(436a) 내에만 상기 복수의 관통홀(432)이 형성되어 있다. 그리고, 상기 부채꼴 영역(435b)에서는 상기 중심축(431)으로부터 제2 반경 거리(r2) 만큼 이격되어 있는 제2 범위(436b) 내에만 상기 복수의 관통홀(432)이 형성되어 있다. 또한, 상기 부채꼴 영역(435c)에서는 상기 중심축(431)으로부터 제3 반경 거리(r3) 만큼 이격되어 있는 제3 범위(436c) 내에만 상기 복수의 관통홀(432)이 형성되어 있다.
도 19는 제2 배플 플레이트(440)의 평면도이다. 상기 제2 배플 플레이트(440)에는 복수의 관통홀(442)이 형성되어 있다. 상기 복수의 관통홀(442)은 상기 제2 배플 플레이트(440)의 중심축(441)을 기준으로 회전 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있다.
상기 제2 배플 플레이트(440)는 그 중심축(441)을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역(445a, 445b, 445c)으로 구분되어 있다. 상기 제2 배플 플레이트(440)에 형성된 복수의 부채꼴 영역(445a, 445b, 445c)은 각각 상기 제1 배플 플레이트(430)에 형성된 복수의 부채꼴 영역(435a, 435b, 435c)의 크기와 대응되는 크기를 갖는다. 상기 각 부채꼴 영역(445a, 445b, 445c)중 일부의 부채꼴 영역(445b, 445c)에서는 개구율이 0이 된다. 즉, 상기 제2 배플 플레이트(440)의 복수의 부채꼴 영역(445a, 445b, 445c)중 상기 복수의 관통홀(442)이 형성되어 있는 부채꼴 영역(445a)은 규칙적인 간격으로 위치하도록 배치되어 있다.
상기 제1 배플 플레이트(430)와 상기 제2 배플 플레이트(440)가 도 17에 도시한 바와 같이 서로 접해 있음으로써 상기 제1 배플 플레이트(430)에 형성된 상기 복수의 관통홀(432)중 일부와 상기 제2 배플 플레이트(440)에 형성된 복수의 관통홀(442)중 일부가 연통되어 얼라인홀(align hole)이 형성된다. 상기 얼라인홀의 개구 위치는 상기 회전 기구(490)에 의한 상기 제1 배플 플레이트(430)의 회전 거리에 따라 변화된다.
도 20a 내지 도 20c는 각각 제1 배플 플레이트(430)와 제2 배플 플레이트(440)가 각각 서로 다른 회전 거리를 가지고 접하고 있을 때 상기 제2 배플 플레이트(440)의 저면에서 본 평면도들이다. 도 20a 내지 도 20c에는 각각 상기 회전 기구(490)에 의하여 상기 제1 배플 플레이트(430)가 다양한 각도로 회전될 때 상기 제1 배플 플레이트(430)와 상기 제2 배플 플레이트(440)가 서로 접하게 됨으로써 형성되는 얼라인홀의 위치 변화가 잘 나타나 있다.
구체적으로 설명하면, 도 20a는 상기 제1 배플 플레이트(430)의 부채꼴 영역(435a)과 상기 제2 배플 플레이트(440)의 부채꼴 영역(445a)이 서로 중첩되도록 상기 제1 배플 플레이트(430)가 상기 회전 기구(490)에 의해 소정의 각도 거리 만큼 회전된 경우이다. 이 경우에는, 상기 제1 배플 플레이트(430)의 부채꼴 영역(435a)중 상기 제1 범위(436a) 내에 형성된 복수의 관통홀(432) 만이 상기 제2 배플 플레이트(440)의 부채꼴 영역(445a) 내에 형성된 복수의 관통홀(442)과 연통되어 상기 제1 범위(436a)에 해당하는 부분에서만 얼라인홀(452)이 형성되고, 상기 제2 배플 플레이트(440)에 형성된 다른 나머지 관통홀(442)들은 상기 제1 배플 플레이트(430)에 의하여 막혀 있게 된다. 따라서, 상기 제1 배플 플레이트(430)와 제2 배플 플레이트(440)가 도 20a에서와 같이 서로 접해 있는 경우에는 상기 반응 챔버 내에서는 상기 프로세스 영역에서 웨이퍼상의 에지 부분에 해당하는 영역으로 보다 많은 양의 반응 가스가 공급된다.
도 20b는 상기 제1 배플 플레이트(430)의 부채꼴 영역(435b)과 상기 제2 배플 플레이트(440)의 부채꼴 영역(445a)이 서로 중첩되도록 상기 제1 배플 플레이트(430)가 상기 회전 기구(490)에 의해 소정의 각도 거리 만큼 회전된 경우이다. 이 경우에는, 상기 제1 배플 플레이트(430)의 부채꼴 영역(435b)중 상기 제2 범위(436b) 내에 형성된 복수의 관통홀(432) 만이 상기 제2 배플 플레이트(440)의 부채꼴 영역(445a) 내에 형성된 복수의 관통홀(442)과 연통되어 상기 제2 범위(436b)에 해당하는 부분에서만 얼라인홀(452)이 형성되고, 상기 제2 배플 플레이트(440)에 형성된 다른 나머지 관통홀(442)들은 상기 제1 배플 플레이트(430)에 의하여 막혀 있게 된다. 따라서, 상기 제1 배플 플레이트(430)와 제2 배플 플레이트(440)가 도 20b에서와 같이 서로 접해 있는 경우에는 상기 반응 챔버 내에서는 상기 프로세스 영역에서 웨이퍼상에서 센터 부분과 에지 부분 사이의 중간 부분에 해당하는 영역으로 보다 많은 양의 반응 가스가 공급된다.
도 20c는 상기 제1 배플 플레이트(430)의 부채꼴 영역(435c)과 상기 제2 배플 플레이트(440)의 부채꼴 영역(445a)이 서로 중첩되도록 상기 제1 배플 플레이트(430)가 상기 회전 기구(490)에 의해 소정의 각도 만큼 회전된 경우이다. 이 경우에는, 상기 제1 배플 플레이트(430)의 부채꼴 영역(435c)중 상기 제3 범위(436c) 내에 형성된 복수의 관통홀(432) 만이 상기 제2 배플 플레이트(440)의 부채꼴 영역(445a) 내에 형성된 복수의 관통홀(442)과 연통되어 상기 제3 범위(436c)에 해당하는 부분에서만 얼라인홀(452)이 형성되고, 상기 제2 배플 플레이트(440)에 형성된 다른 나머지 관통홀(442)들은 상기 제1 배플 플레이트(430)에 의하여 막혀 있게 된다. 따라서, 상기 제1 배플 플레이트(430)와 제2 배플 플레이트(440)가 도 20c에서와 같이 서로 접해 있는 경우에는 상기 반응 챔버 내에서는 상기 프로세스 영역에서 웨이퍼상에서 센터 부분에 가까운 영역으로 보다 많은 양의 반응 가스가 공급된다.
상기한 바와 같이, 상기 제1 배플 플레이트(430)와 상기 제2 배플 플레이트(440)의 중첩에 의하여 형성되는 상기 얼라인홀(452)의 개구 위치는 상기 회전 기구(490)에 의하여 변화되는 상기 제1 배플 플레이트(430)의 회전 거리에 따라 달라진다. 따라서, 상기 프로세스 영역상의 웨이퍼상에서 그 위치에 따라 반응 가스 공급량을 조절하기 위하여 상기 회전 기구(49)를 이용하여 상기 제1 배플 플레이트(430)의 회전 각도를 조절하여 상기 얼라인홀(452)의 개구 위치를 선택할 수 있다.
도 21은 본 발명의 또 다른 실시예에 따른 샤워 헤드의 요부 구성을 설명하기 위한 단면도이다. 도 21에 있어서, 전술한 구성과 동일한 구성을 가지는 구성 요소에 대하여는 동일한 참조 부호로 표시하고, 그에 대한 상세한 설명은 생략한다.
도 21에 도시한 실시예에 따른 샤워 헤드는 도 1을 참조하여 설명한 바와 같은 상부 플레이트(10)와 페이스 플레이트(20) 사이에 설치되는 제1 배플 플레이트(530)를 포함한다. 또한, 상기 제1 배플 플레이트(530)와 상기 페이스 플레이트(20)와의 사이에 설치되고, 상기 제1 배플 플레이트(530)와의 사이에서 상기 반응 가스의 유동 통로를 형성하는 제2 갭(80)을 한정하는 상면을 가지는 제1 배플 플레이트(540)를 포함한다.
상기 제1 배플 플레이트(530)와 상기 제2 배플 플레이트(540) 사이에 형성되는 제2 갭(80)을 통한 반응 가스의 유량을 제어하기 위하여 상기 제2 배플 플레이트(540)의 상면에는 복수의 환형 압전 소자(piezoelectric element)(582, 584, 586)가 설치되어 있다.
도 22는 상기 제1 배플 플레이트(530)의 평면도이다. 도 22에 도시된 바와 같이, 상기 제1 배플 플레이트(530)에는 복수의 관통홀(532, 534, 536)이 형성되어 있다. 상기 복수의 관통홀(532, 534, 536)은 상기 제1 배플 플레이트(530)의 중심축(531)으로부터 제1 반경 거리(R1) 만큼 이격된 위치에 형성된 복수의 제1 관통홀(532)과, 상기 중심축(531)으로부터 상기 제1 반경 거리(R1) 보다 큰 제2 반경 거리(R2) 만큼 이격된 위치에 형성된 복수의 제2 관통홀(534)과, 상기 중심축(532)으로부터 상기 제2 반경 거리(R2) 보다 큰 제3 반경 거리(R3) 만큼 이격된 위치에 형성된 복수의 제3 관통홀(536)로 이루어진다.
도 23은 상기 제2 배플 플레이트(540)의 평면도이다. 도 23에 도시된 바와 같이, 상기 제2 배플 플레이트(540)에는 복수의 관통홀(542, 544, 546, 548)이 형성되어 있다. 상기 복수의 관통홀(542, 544, 546, 548)은 상기 제1 배플 플레이트(540)의 중심축(541) 위치에 형성된 제4 관통홀(542)과, 상기 중심축(541)으로부터 제4 반경 거리(R4) 만큼 이격된 위치에 형성된 복수의 제5 관통홀(544)과, 상기 중심축(541)으로부터 상기 제4 반경 거리(R4) 보다 큰 제5 반경 거리(R5) 만큼이격된 위치에 형성된 복수의 제6 관통홀(546)과, 상기 중심축(541)으로부터 상기 제5 반경 거리(R5) 보다 큰 제6 반경 거리(R6) 만큼 이격된 위치에 형성된 제7 관통홀(548)로 이루어진다.
상기 복수의 압전 소자(582, 584, 586)는 상기 제2 배플 플레이트(540)상에서 상기 제4 관통홀(542)과 제5 관통홀(544)과의 사이에 설치되는 환형의 제1 압전 소자(582)와, 상기 제5 관통홀(544)과 제6 관통홀(546)과의 사이에 설치되는 환형의 제2 압전 소자(584)와, 상기 제6 관통홀(546)과 제7 관통홀(548)과의 사이에 설치되는 제3 압전 소자(586)를 포함한다. 상기 제1, 제2 및 제3 압전 소자(582, 584, 586)는 각각 상기 제2 배플 플레이트(540) 위에 접합되어 있다. 상기 제2 배플 플레이트(540)상에서 상기 제1 압전 소자(582)가 설치되는 위치는 상기 제1 배플 플레이트(530)의 제1 관통홀(532)이 형성된 위치에 대응된다. 상기 제2 배플 플레이트(540)상에서 상기 제2 압전 소자(584)가 설치되는 위치는 상기 제1 배플 플레이트(530)의 제2 관통홀(534)이 형성된 위치에 대응된다. 상기 제2 배플 플레이트(540)상에서 상기 제3 압전 소자(586)가 설치되는 위치는 상기 제1 배플 플레이트(530)의 제3 관통홀(536)이 형성된 위치에 대응된다.
도 24는 도 21의 "A"로 표시된 부분을 확대하여 도시한 도면이다.
도 21 내지 도 24를 참조하면, 상기 압전 소자(582, 584, 586)는 각각 전압 인가에 따라 두께 팽창 모드로 진동하는 압전층(572)을 포함한다. 상기 압전층(572)은 PZT(lead zirconate titanate), PbTiO3, BaTiO3또는 PVDF폴리머(poly vinylidene fluoride)로 이루어질 수 있다. 상기 압전층(572)은 그 양측에 제1 전극(574) 및 제2 전극(576)이 각각 형성되는 2개의 주면을 포함하고 있다. 상기 제1 전극(574) 및 제2 전극(576)중 상기 제1 배플 플레이트(530)에 인접하게 위치된 상기 제1 전극(574)상에는 절연층(578)이 형성되어 있다. 상기 제2 전극(576)은 상기 제2 배플 플레이트(540)에 의해 구성된다. 즉, 상기 제2 배플 플레이트(540)가 상기 제2 전극(576)의 역할을 하는 것이다. 따라서, 상기 압전 소자(582)는 상기 압전층(572)과 상기 제2 배플 플레이트(540)와의 접합면을 포함한다. 이 때, 상기 제2 배플 플레이트(540)는 알루미늄으로 형성되는 것이 바람직하다.
상기 압전 소자(582, 584, 586)는 파워 공급부(590)로부터 전압을 인가받는다. 상기 압전 소자(582, 584, 586)의 압전층(572)은 각각 상기 파워 공급부(590)로부터 인가되는 전압의 크기에 따라 그 두께 팽창율이 조절될 수 있다. 따라서, 상기 압전층(572)의 두께 팽창율에 따라 상기 제1 압전 소자(582)와 상기 제1 관통홀(532)과의 사이의 거리가 조절되어 상기 제1 배플 플레이트(530)의 제1 관통홀(532)로부터 상기 제2 갭(80)으로 유출되는 상기 반응 가스(510)의 유량을 조절하는 것이 가능하다. 또한, 상기 파워 공급부(590)로부터 인가되는 전압의 크기를 조절함으로써 상기 압전층(572)의 두께 팽창율을 조절하여 상기 제1 배플 플레이트(530)의 제1 관통홀(532)을 선택적으로 개방 또는 폐쇄시킬 수도 있다. 상기 제1 압전 소자(582)에 대하여 설명한 상기와 같은 구성은 상기 제2 압전 소자(584) 및 제3 압전 소자(586)에 대하여도 마찬가지로 적용된다. 이와 같은 구성을 채용함으로써, 상기 제1 배플 플레이트(530)에 형성된 복수의 제1, 제2 및 제3 관통홀(532, 534, 536)중에서 그 중심축(531)으로부터 원하는 반경 거리에 형성되어 있는 관통홀을 선택적으로 개방 또는 폐쇄시킬 수 있을 뿐 만 아니라 그 관통홀을 통한 반응 가스의 유량을 조절할 수 있다. 따라서, 인가되는 전압의 크기에 따라 다른 두께 팽창율을 나타내는 상기 압전 소자(582, 584, 586)를 이용하여, 상기 반응 챔버의 프로세스 영역 내에서 웨이퍼상의 특정 위치에서 필요한 반응 가스의 유량에 따라 상기 제1 배플 플레이트(530)에 형성된 복수의 제1, 제2 및 제3 관통홀(532, 534, 536)을 통한 반응 가스의 유량을 선택적으로 제어할 수 있다.
도시하지는 않았으나, 도 21을 참조하여 설명한 바와 같은 구성을 가지는 샤워 헤드에 있어서, 상기 제1 배플 플레이트(530) 위에는 도 5a 내지 도 5c를 참조하여 설명한 바와 같은 가이드 배플 플레이트(50)가 설치될 수 있다. 이 때에는, 상기 가이드 배플 플레이트(50)와 상기 제1 배플 플레이트(530) 사이에 상기 제1 갭(70)에 대응되는 갭이 형성되어 상기 반응 가스의 횡방향 유동 통로를 제공한다.
또한, 도 21을 참조하여 설명한 바와 같은 구성을 가지는 샤워 헤드에 있어서, 상기 제2 배플 플레이트(540)와 상기 페이스 플레이트(20) 사이에는 도 7을 참조하여 설명한 바와 같은 제3 배플 플레이트(60)를 더 포함할 수 있다.
도 21 내지 도 24를 참조하여 설명한 바와 같이, 압전 소자를 이용하여 상기 제1 배플 플레이트(530)와 제2 배플 플레이트(540) 사이에서 반응 가스의 공급량을 조절하는 경우, 외부에서 공급되는 전압의 크기에 따라 샤워 헤드의 중심으로부터 반경 방향으로 반응 가스의 공급량을 조절할 수 있다. 따라서, 샤워 헤드 내부에서기계적인 이동이 거의 없고 반응 가스 공급량을 조절하기 위한 제어 성능이 향상되는 이점이 있다.
상기한 바와 같이, 본 발명에 따른 샤워 헤드는 인접한 2개의 배플 플레이트 사이에서 반응 가스의 유동 통로를 형성하는 갭의 폭을 결정하기 위한 간격 조절 장치를 포함한다. 상기 간격 조절 장치에 의하여 상기 갭의 폭을 선택적으로 감소 또는 증가시킴으로써, 반응 챔버의 프로세스 영역에서 웨이퍼상의 위치에 따라 공급되는 반응 가스의 양을 조절할 수 있을 뿐 만 아니라 웨이퍼상의 위치에 따른 반응 가스의 유량을 필요에 따라 균일 또는 불균일하게 되도록 조절할 수 있다.
따라서, 본 발명에 의하면 반도체 소자의 제조 공정시 웨이퍼상의 전면에 걸쳐서 최적화된 식각율 균일도를 얻기 위하여 웨이퍼상의 위치에 따라 반응 가스 분포량을 용이하게 조절할 수 있다. 또한, 웨이퍼상의 위치에 따라 원하는 대로 반응 가스 공급량을 조절함으로써 식각 단계중 웨이퍼상에서 부분적으로 발생할 수 있는 식각율 균일도 저하를 미리 보상하여 최종적으로 얻어지는 식각율 균일도를 최적화시킬 수 있다. 따라서, 반도체 소자 제조 공정에서 웨이퍼상의 위치에 따라 패턴 균일도를 원하는대로 최적화시킬 수 있을 뿐 만 아니라 반도체 제조 장치를 개발하는 단계에서 웨이퍼상의 균일도 문제를 과도하게 고려하지 않아도 무방하므로 반도체 제조 장치를 개발하는 데 소요되는 시간 및 경비를 줄일 수 있다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상의 범위 내에서 당 분야에서통상의 지식을 가진 자에 의하여 여러가지 변형이 가능하다.

Claims (108)

  1. 반응 챔버 내의 프로세스 영역에 반응 가스를 공급하기 위한 샤워 헤드에 있어서,
    외부로부터 공급되는 상기 반응 가스를 상기 반응 챔버 내로 유입시키기 위한 가스 유입구가 형성되어 있는 상부 플레이트(top plate)와,
    복수의 관통홀이 형성되어 있고 상기 프로세스 영역에 대면하고 있는 페이스 플레이트(face plate)와,
    상기 상부 플레이트와 상기 페이스 플레이트와의 사이에 상하 이동 가능하게 설치되고, 상기 반응 가스의 제1 횡방향 유동 통로를 형성하는 제1 갭(gap)을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있는 제1 배플 플레이트와,
    상기 제1 배플 플레이트와 상기 페이스 플레이트와의 사이에 상하 이동 가능하게 설치되고, 상기 제1 배플 플레이트와의 사이에서 상기 반응 가스의 제2 횡방향 유동 통로를 형성하는 제2 갭(gap)을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있는 제2 배플 플레이트와,
    상기 제1 갭 및 제2 갭의 폭을 결정하기 위한 간격 조절 장치를 포함하는 것을 특징으로 하는 샤워 헤드.
  2. 제1항에 있어서, 상기 제1 배플 플레이트에 형성된 복수의 관통홀은
    상기 제1 배플 플레이트의 중심축에 근접하게 위치하고 상기 중심축으로부터 제1 거리 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀과,
    상기 제1 배플 플레이트의 에지에 근접하게 위치하고 상기 중심축으로부터 상기 제1 거리보다 큰 제2 거리 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀로 구성되는 것을 특징으로 하는 샤워 헤드.
  3. 제2항에 있어서, 상기 간격 조절 장치는 상기 제1 관통홀을 통과하는 반응 가스의 양이 상기 제2 관통홀을 통과하는 반응 가스의 양보다 많아지도록 상기 제1 갭의 폭을 충분히 작게 하기 위하여 상기 제1 배플 플레이트의 위치를 결정하는 것을 특징으로 하는 샤워 헤드.
  4. 제2항에 있어서, 상기 간격 조절 장치는 상기 제2 관통홀을 통과하는 반응 가스의 양이 증가될 수 있도록 상기 제1 갭의 폭을 증가시키기 위하여 상기 제1 배플 플레이트의 위치를 결정하는 것을 특징으로 하는 샤워 헤드.
  5. 제1항에 있어서, 상기 간격 조절 장치는 상기 제2 배플 플레이트에 형성된 복수의 관통홀을 통과하는 반응 가스의 유량이 상기 프로세스 영역 내에서 전체적으로 일정하게 될 수 있도록 상기 제2 갭의 폭을 충분히 증가시키기 위하여 상기 제2 배플 플레이트의 위치를 결정하는 것을 특징으로 하는 샤워 헤드.
  6. 제1항에 있어서, 상기 간격 조절 장치는 상기 제2 배플 플레이트에 형성된 복수의 관통홀을 통과하는 반응 가스의 유량이 상기 프로세스 영역 내의 위치에 따라 선택적으로 불균일하게 되도록 상기 제2 갭의 폭을 감소시키기 위하여 상기 제2 배플 플레이트의 위치를 결정하는 것을 특징으로 하는 샤워 헤드.
  7. 제1항에 있어서, 상기 간격 조절 장치는
    상기 제1 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트의 상면에 설치되는 제1 스페이서 링과,
    상기 제2 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트와 제2 배플 플레이트와의 사이에 설치되는 제2 스페이서 링으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  8. 제7항에 있어서, 상기 제1 스페이서 링은 상기 제1 배플 플레이트의 상면에서 그 에지 부분에 설치되고, 상기 제2 스페이서 링은 상기 제2 배플 플레이트의 상면에서 그 에지 부분에 설치되는 것을 특징으로 하는 샤워 헤드.
  9. 제7항에 있어서, 상기 제1 스페이서 링은 1개 또는 2개 이상의 환형 링으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  10. 제7항에 있어서, 상기 제2 스페이서 링은 1개 또는 2개 이상의 환형 링으로이루어지는 것을 특징으로 하는 샤워 헤드.
  11. 제7항에 있어서, 상기 제1 스페이서 링 및 제2 스페이서 링중 적어도 하나는 복수의 톱니형 기어가 형성된 환형 접촉부를 가지는 것을 특징으로 하는 샤워 헤드.
  12. 제11항에 있어서, 상기 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  13. 제7항에 있어서, 상기 환형 접촉부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  14. 제7항에 있어서,
    상기 제1 스페이서 링은 상기 제1 배플 플레이트와 대향되는 면에 형성된 복수의 톱니형 기어로 이루어지는 환형 접촉부를 가지고,
    상기 제1 배플 플레이트는 상기 제1 스페이서 링과 대향되는 면에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부를 포함하는 것을 특징으로 하는 샤워 헤드.
  15. 제14항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어는 중심각 90°에대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  16. 제14항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  17. 제7항에 있어서,
    상기 제2 스페이서 링은 상기 제2 배플 플레이트와 대향되는 면에 형성된 복수의 톱니형 기어로 이루어지는 환형 접촉부를 가지고,
    상기 제2 배플 플레이트는 상기 제2 스페이서 링과 대향되는 면에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부를 포함하는 것을 특징으로 하는 샤워 헤드.
  18. 제17항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  19. 제17항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  20. 제1항에 있어서, 상기 제1 배플 플레이트는 전면적으로 균일한 두께를 가지는 단일의 원판형 부재로 이루어지는 것을 특징으로 하는 샤워 헤드.
  21. 제1항에 있어서, 상기 제1 배플 플레이트는
    복수의 관통홀이 형성되어 있고, 상면의 중심부에 원형 공간을 제공하는 그루브(groove)가 형성되어 있는 원판형 베이스 플레이트와,
    상기 그루브 내에서 상기 제1 배플 플레이트의 중심축을 중심으로 회전 가능하게 삽입되고, 상기 베이스 플레이트에 형성된 복수의 관통홀중 일부와 연통 가능한 복수의 관통홀이 형성되어 있는 원판형 인서트 플레이트로 이루어지는 것을 특징으로 하는 샤워 헤드.
  22. 제21항에 있어서,
    상기 베이스 플레이트에 형성된 복수의 관통홀은
    상기 제1 배플 플레이트의 중심축에 근접하게 위치하고 상기 중심축으로부터 상기 인서트 플레이트의 반경 길이보다 작은 제1 거리 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀과,
    상기 베이스 플레이트의 에지에 근접하게 위치하고 상기 중심축으로부터 상기 인서트 플레이트의 반경 길이보다 큰 제2 거리 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀로 구성되고,
    상기 제1 관통홀과 상기 인서트 플레이트에 형성된 관통홀은 상기 인서트 플레이트의 회전 거리에 따라 연통(communication) 가능한 것을 특징으로 하는 샤워 헤드.
  23. 제22항에 있어서,
    상기 인서트 플레이트의 회전 거리에 따라 상기 제1 관통홀의 개구율(opening ratio)을 변화시킬 수 있도록 하기 위하여, 상기 인서트 플레이트에 형성된 복수의 관통홀과 상기 베이스 플레이트에 형성된 복수의 제1 관통홀은 각각 상기 제1 배플 플레이트의 중심축을 기준으로 일부 각도 범위에만 선택적으로 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  24. 제1항에 있어서,
    상기 제1 배플 플레이트와 동축으로 상기 제1 배플 플레이트 위에 설치되고, 상기 상부 플레이트를 통하여 공급되는 반응 가스가 유입되는 유입구와, 상기 유입구를 통하여 유입된 반응 가스를 복수의 경로를 통하여 상기 제1 갭으로 유출시키기 위한 복수의 유출구가 형성되어 있는 가이드(guide) 배플 플레이트를 더 포함하고,
    상기 제1 갭의 폭은 상기 가이드 배플 플레이트의 저면과 상기 제1 배플 플레이트의 상면에 의하여 한정되는 것을 특징으로 하는 샤워 헤드.
  25. 제24항에 있어서, 상기 가이드 배플 플레이트에 형성된 복수의 유출구는 각각 상기 가이드 배플 플레이트의 중심축으로부터 소정 거리 만큼 방사 방향으로 이격된 위치에 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  26. 제25항에 있어서,
    상기 제1 배플 플레이트에 형성된 복수의 관통홀은
    상기 제1 배플 플레이트의 중심축에 근접하게 위치하고 상기 중심축으로부터 제1 거리 만큼 방사 방향으로 이격된 제1 위치에 형성된 복수의 제1 관통홀과,
    상기 제1 배플 플레이트의 에지에 근접하게 위치하고 상기 중심축으로부터 상기 제1 거리보다 큰 제2 거리 만큼 방사 방향으로 이격된 제2 위치에 형성된 복수의 제2 관통홀로 구성되고,
    상기 가이드 배플 플레이트에 형성된 복수의 유출구는 각각 상기 가이드 배플 플레이트의 중심축으로부터 상기 제1 거리보다 크고 상기 제2 거리보다 작은 제3 거리 만큼 방사 방향으로 이격된 위치에 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  27. 제26항에 있어서, 상기 유출구로부터 상기 제1 관통홀까지의 거리는 상기 유출구로부터 상기 제2 관통홀까지의 거리보다 더 작은 것을 특징으로 하는 샤워 헤드.
  28. 제24항에 있어서, 상기 간격 조절 장치는
    상기 제1 갭의 폭을 결정하기 위하여 상기 가이드 배플 플레이트와 상기 제1 배플 플레이트와의 사이에 설치되는 제1 스페이서 링과,
    상기 제2 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트와 상기 제2 배플 플레이트와의 사이에 설치되는 제2 스페이서 링으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  29. 제28항에 있어서, 상기 제1 및 제2 스페이서 링은 각각 1개 또는 2개 이상의 환형 링으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  30. 제28항에 있어서, 상기 제1 스페이서 링 및 제2 스페이서 링중 적어도 하나는 복수의 톱니형 기어가 형성된 환형 접촉부를 가지는 것을 특징으로 하는 샤워 헤드.
  31. 제30항에 있어서, 상기 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  32. 제30항에 있어서, 상기 환형 접촉부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  33. 제28항에 있어서,
    상기 제1 스페이서 링은 상기 제1 배플 플레이트와 대향되는 면에 형성된 복수의 톱니형 기어로 이루어지는 환형 접촉부를 가지고,
    상기 제1 배플 플레이트는 상기 제1 스페이서 링과 대향되는 면에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부를 포함하는 것을 특징으로 하는 샤워 헤드.
  34. 제33항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  35. 제33항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  36. 제28항에 있어서,
    상기 제2 스페이서 링은 상기 제2 배플 플레이트와 대향되는 면에 형성된 복수의 톱니형 기어로 이루어지는 환형 접촉부를 가지고,
    상기 제2 배플 플레이트는 상기 제2 스페이서 링과 대향되는 면에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부를 포함하는 것을 특징으로 하는 샤워 헤드.
  37. 제36항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  38. 제36항에 있어서, 상기 스페이서 링 결합부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  39. 제24항에 있어서, 상기 간격 조절 장치는
    상기 제1 갭의 폭을 결정하기 위하여 상기 가이드 배플 플레이트를 선택적으로 상하 이동시키기 위한 제1 구동 샤프트와,
    상기 제2 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트를 선택적으로 상하 이동시키기 위한 제2 구동 샤프트를 포함하는 것을 특징으로 하는 샤워 헤드.
  40. 제39항에 있어서, 상기 제1 구동 샤프트와 상기 제2 구동 샤프트는 동축으로 설치되어 있는 것을 특징으로 하는 샤워 헤드.
  41. 제24항에 있어서, 상기 간격 조절 장치는
    상기 제2 갭의 폭을 결정하기 위하여 제1 스테핑 모터를 이용하여 상기 제1 배플 플레이트를 상하 이동시키기 위한 승강 기구와,
    상기 제1 갭의 폭을 결정하기 위하여 상기 제2 스테핑 모터를 이용하여 상기 가이드 배플 플레이트를 기어 구동(gear drive)에 의하여 상하 이동시키기 위한 회전 기구를 포함하고,
    상기 승강 기구와 회전 기구는 일체로 결합되어 있는 것을 특징으로 하는 샤워 헤드.
  42. 제41항에 있어서,
    상기 승강 기구는 상기 제1 스테핑 모터로부터 전달되는 동력에 의해 상하 이동 가능하고 상기 가이드 배플 플레이트 및 상기 제1 배플 플레이트를 관통하여 연장되는 샤프트와, 상기 샤프트의 일단에 형성되어 있고 상기 샤프트의 상하 이동에 따라 상기 제1 배플 플레이트를 종동적으로 상하 이동시키기 위한 외향 플랜지(outward flange)로 이루어지고,
    상기 회전 기구는 상기 제2 스테핑 모터로부터 전달되는 동력에 의해 회전 가능한 상기 샤프트와, 상기 샤프트의 회전에 따라 상기 가이드 배플 플레이트를 상승 또는 하강시키기 위하여 상기 샤프트의 외주에서 상기 가이드 배플 플레이트가 결합되는 위치에 형성되어 있는 외접 스크류부로 이루어지는 것을 특징으로 하는 샤워 헤드.
  43. 제42항에 있어서, 상기 제1 배플 플레이트의 중심부에는 상기 샤프트의 일단에 형성되어 있는 외향 플랜지를 수용하기 위한 원형 공간이 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  44. 제42항에 있어서, 상기 가이드 배플 플레이트의 중심부에는 상기 샤프트가 관통되는 중심홀이 형성되어 있고, 상기 중심홀의 내벽에는 상기 샤프트의 외접 스크류부와 치합(齒合)되는 내접 스크류부가 형성되어 있는 것을 특징으로 하는 샤워헤드.
  45. 제42항에 있어서, 상기 제1 배플 플레이트를 상하 이동시키기 위하여 상기 샤프트가 상기 승강 기구에 의하여 상하 이동할 때 상기 가이드 배플 플레이트가 상기 샤프트의 이동에 종동하여 상하 이동되도록 상기 가이드 배플 플레이트에는 상기 외접 스크류부와 치합되는 내접 스크류부가 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  46. 제42항에 있어서, 상기 가이드 배플 플레이트를 상하 이동시키기 위하여 상기 샤프트가 상기 회전 기구에 의하여 회전될 때 상기 제1 배플 플레이트가 상기 외향 플랜지의 회전력에 영향받지 않도록 상기 제1 배플 플레이트에는 상기 외향 플랜지를 마찰없이 수용하기 위한 원형 공간이 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  47. 제42항에 있어서,
    상기 회전 기구에 의해 상기 샤프트가 회전될 때 상기 가이드 배플 플레이트의 회전을 방지하기 위한 스토퍼(stopper)를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  48. 제1항에 있어서,
    상기 제1 배플 플레이트에 형성된 복수의 관통홀중 일부와 상기 제2 배플 플레이트에 형성된 복수의 관통홀중 일부가 연통되어 얼라인홀(align hole)이 형성되도록 상기 제1 배플 플레이트와 제2 배플 플레이트가 서로 접해 있고,
    상기 제2 배플 플레이트에 대하여 상기 제1 배플 플레이트가 소정의 각도 범위에서 회전 가능하도록 상기 제1 배플 플레이트에 연결되어 있는 회전 기구를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  49. 제48항에 있어서, 상기 제2 갭의 폭은 실질적으로 0인 것을 특징으로 하는 샤워 헤드.
  50. 제48항에 있어서,
    상기 제1 배플 플레이트에 형성된 복수의 관통홀은 상기 제1 배플 플레이트의 중심축으로부터 반경 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있고,
    상기 제2 배플 플레이트에 형성된 복수의 관통홀은 상기 제2 배플 플레이트의 중심축을 기준으로 회전 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있고,
    상기 얼라인홀의 개구 위치를 변화시키기 위하여 상기 회전 기구는 상기 제1 배플 플레이트의 회전 거리를 변화시키는 것을 특징으로 하는 샤워 헤드.
  51. 제50항에 있어서, 상기 제1 배플 플레이트는 그 중심축을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역으로 구분되고, 상기 제1 배플 플레이트의 각 부채꼴 영역에서는 상기 중심축으로부터 선택된 반경 거리만큼 이격되어 있는 소정 범위 내에만 상기 복수의 관통홀이 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  52. 제50항에 있어서, 상기 제2 배플 플레이트는 그 중심축을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역으로 구분되고, 상기 제2 배플 플레이트의 복수의 부채꼴 영역중 상기 복수의 관통홀이 형성된 부채꼴 영역이 규칙적인 간격으로 위치하도록 배치되어 있는 것을 특징으로 하는 샤워 헤드.
  53. 제48항에 있어서, 상기 간격 조절 장치는
    상기 제1 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트 및 제2 배플 플레이트를 동시에 상하 이동시키기 위한 구동 샤프트를 포함하는 것을 특징으로 하는 샤워 헤드.
  54. 제53항에 있어서,
    상기 제1 배플 플레이트와 동축으로 상기 제1 배플 플레이트 위에 설치되고, 상기 상부 플레이트를 통하여 공급되는 반응 가스가 유입되는 유입구와, 상기 유입구를 통하여 유입된 반응 가스를 상기 복수의 경로를 통하여 상기 제1 갭으로 유출시키기 위한 복수의 유출구가 형성되어 있는 가이드 배플 플레이트를 더 포함하고,
    상기 제1 갭의 폭은 상기 가이드 배플 플레이트의 저면과 상기 제1 배플 플레이트의 상면에 의하여 한정되는 것을 특징으로 하는 샤워 헤드.
  55. 제54항에 있어서, 상기 가이드 배플 플레이트에 형성된 복수의 유출구는 각각 상기 가이드 배플 플레이트의 중심축으로부터 소정 거리 만큼 방사 방향으로 이격된 위치에 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  56. 반응 챔버 내의 프로세스 영역에 반응 가스를 공급하기 위한 샤워 헤드에 있어서,
    외부로부터 공급되는 상기 반응 가스를 상기 반응 챔버 내로 유입시키기 위한 가스 유입구가 형성되어 있는 상부 플레이트(top plate)와,
    복수의 관통홀이 형성되어 있고 상기 프로세스 영역에 대면하고 있는 페이스 플레이트(face plate)와,
    상기 상부 플레이트와 상기 페이스 플레이트와의 사이에 설치되고, 복수의 관통홀이 형성되어 있는 제1 배플 플레이트와,
    상기 제1 배플 플레이트와 상기 페이스 플레이트와의 사이에 설치되고, 상기 제1 배플 플레이트와의 사이에서 상기 반응 가스의 횡방향 유동 통로를 형성하는 갭(gap)을 한정하는 상면을 가지고, 복수의 관통홀이 형성되어 있는 제2 배플 플레이트와,
    상기 갭을 통한 반응 가스의 유량을 제어하기 위하여 상기 제2 배플 플레이트의 상면에 설치된 복수의 압전 소자(piezoelectric element)와,
    상기 압전 소자에 전압을 인가하기 위한 파워 공급부를 포함하는 것을 특징으로 하는 샤워 헤드.
  57. 제56항에 있어서, 상기 복수의 압전 소자는 각각
    2개의 주면을 포함하고 전압 인가에 따라 두께 팽창 진동 모드로 진동하는 압전층과,
    상기 압전층의 2개의 주면상에 각각 형성된 제1 전극층 및 제2 전극층과,
    상기 제1 배플 플레이트에 인접하게 위치된 상기 제1 전극층상에 형성된 절연층을 포함하는 것을 특징으로 하는 샤워 헤드.
  58. 제57항에 있어서, 상기 압전층은 PZT(lead zirconate titanate), PbTiO3, BaTiO3또는 PVDF 폴리머(poly vinylidene fluoride)로 이루어지는 것을 특징으로 하는 샤워 헤드.
  59. 제57항에 있어서, 상기 제2 전극은 상기 제2 배플 플레이트에 의해 구성되는 것을 특징으로 하는 샤워 헤드.
  60. 제56항에 있어서, 상기 복수의 압전 소자는 각각 상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 관통홀이 형성된 위치에 대응하는 위치에 형성되어있는 것을 특징으로 하는 샤워 헤드.
  61. 제56항에 있어서,
    상기 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절되는 상기 압전 소자의 두께 팽창율에 의해 상기 제1 배플 플레이트의 관통홀로부터 상기 갭으로 유출되는 상기 반응 가스의 유량을 조절하는 것을 특징으로 하는 샤워 헤드.
  62. 제56항에 있어서,
    상기 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절되는 상기 압전 소자의 두께 팽창율에 의해 상기 제1 배플 플레이트의 관통홀을 선택적으로 개방 또는 폐쇄시키는 것을 특징으로 하는 샤워 헤드.
  63. 제56항에 있어서,
    상기 제1 배플 플레이트에서 상기 관통홀은 상기 제1 배플 플레이트의 중심축으로부터 소정의 반경 거리 만큼 이격된 제1 위치에 형성되고,
    상기 압전 소자는 상기 제2 배플 플레이트상에서 상기 제1 위치에 대응하는 위치에 형성된 환형 소자로 이루어지는 것을 특징으로 하는 샤워 헤드.
  64. 제56항에 있어서, 상기 제1 배플 플레이트 및 제2 배플 플레이트는 각각 알루미늄으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  65. 제56항에 있어서,
    상기 제1 배플 플레이트와 동축으로 상기 제1 배플 플레이트 위에 설치되고 상기 제1 배플 플레이트에 대향하는 저면을 가지는 가이드 배플 플레이트를 더 포함하고,
    상기 가이드 배플 플레이트는 상기 가이드 배플 플레이트와 상기 제1 배플 플레이트와의 사이에 상기 반응 가스의 횡방향 유동 통로를 제공하는 상부갭(upper gap)이 형성되도록 배치되고,
    상기 가이드 배플 플레이트에는 상기 상부 플레이트를 통하여 공급되는 반응 가스가 유입되는 유입구와, 상기 유입구를 통하여 유입되는 반응 가스를 복수의 경로를 통하여 상기 상부갭으로 유출시키기 위한 복수의 유출구가 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  66. 제65항에 있어서, 상기 가이드 배플 플레이트에 형성된 복수의 유출구는 각각 상기 가이드 배플 플레이트의 중심축으로부터 소정 거리 만큼 방사 방향으로 이격된 위치에 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  67. 제56항에 있어서,
    상기 제2 배플 플레이트와 상기 페이스 플레이트 사이에 설치되고, 복수의관통홀이 형성되어 있는 제3 배플 플레이트를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  68. 제67항에 있어서, 상기 제3 배플 플레이트는 상기 샤워 헤드를 전기적으로 안정화시키기에 충분한 저항율을 가지는 고저항 물질로 이루어지는 것을 특징으로 하는 샤워 헤드.
  69. 제68항에 있어서, 상기 제3 배플 플레이트는 SiC(silicon carbide)로 이루어지는 것을 특징으로 하는 샤워 헤드.
  70. 반응 챔버 내의 프로세스 영역에 반응 가스를 공급하기 위한 샤워 헤드에 있어서,
    외부로부터 공급되는 상기 반응 가스의 유량을 중심축으로부터 반경 거리에 따라 선택적으로 조절하기 위하여 상기 중심축으로부터 제1 반경 거리 범위에 있는 복수의 제1 관통홀과 상기 제1 반경 거리 범위보다 큰 제2 반경 거리 범위에 있는 복수의 제2 관통홀이 형성되어 있는 제1 배플 플레이트와,
    상기 제1 배플 플레이트와의 사이에서 횡방향 유동 통로를 형성하는 갭(gap)이 형성되도록 상기 제1 배플 플레이트의 아래에 설치되어 있고, 복수의 관통홀이 형성되어 있는 제2 배플 플레이트와,
    상기 갭의 폭을 조절하기 위하여 상기 제1 배플 플레이트 및 제2 배플 플레이트중 적어도 하나를 이동시키기 위한 간격 조절 장치를 포함하는 것을 특징으로 하는 샤워 헤드.
  71. 제70항에 있어서, 상기 간격 조절 장치는
    상기 갭의 폭을 결정하기 위하여 소정의 두께를 가지고 상기 제1 배플 플레이트와 제2 배플 플레이트와의 사이에 설치되는 스페이서 링을 포함하는 것을 특징으로 하는 샤워 헤드.
  72. 제71항에 있어서, 상기 스페이서 링은 상기 제2 배플 플레이트의 상면중 에지 부분에 설치되는 것을 특징으로 하는 샤워 헤드.
  73. 제71항에 있어서, 상기 스페이서 링은 1개 또는 2개 이상의 환형 링으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  74. 제71항에 있어서, 상기 스페이서 링은 복수의 톱니형 기어가 형성된 환형 접촉부를 가지는 것을 특징으로 하는 샤워 헤드.
  75. 제74항에 있어서, 상기 톱니형 기어는 중심각 90°에 대응되는 원호 길이와 동일한 피치를 가지는 것을 특징으로 하는 샤워 헤드.
  76. 제74항에 있어서, 상기 환형 접촉부의 톱니형 기어의 높이는 0.01 ∼ 0.5mm인 것을 특징으로 하는 샤워 헤드.
  77. 제74항에 있어서,
    상기 스페이서 링의 환형 접촉부는 상기 제1 배플 플레이트의 저면과 접하고,
    상기 제1 배플 플레이트의 저면에는 그 에지부에 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부가 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  78. 제77항에 있어서, 상기 제1 배플 플레이트의 스페이서 링 결합부는 상기 제1 배플 플레이트의 저면 중심부의 두께보다 더 작은 두께를 가지는 부분을 포함하는 것을 특징으로 하는 샤워 헤드.
  79. 제74항에 있어서,
    상기 스페이서 링의 환형 접촉부는 상기 제2 배플 플레이트의 상면과 접하고,
    상기 제2 배플 플레이트의 상면에는 상기 환형 접촉부의 톱니형 기어와 치합 가능하도록 형성된 복수의 톱니형 기어로 이루어지는 스페이서 링 결합부가 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  80. 제79항에 있어서, 상기 제2 배플 플레이트의 스페이서 링 결합부는 상기 제2 배플 플레이트의 상면 중심부의 두께보다 더 작은 두께를 가지는 부분을 포함하는 것을 특징으로 하는 샤워 헤드.
  81. 제70항에 있어서, 상기 제1 배플 플레이트는 전면적으로 균일한 두께를 가지는 단일의 원판형 부재로 이루어지는 것을 특징으로 하는 샤워 헤드.
  82. 제70항에 있어서, 상기 제1 배플 플레이트는
    원형 공간을 제공하는 그루브(groove)가 형성된 중심부를 가지고, 상기 중심부에 제1 관통홀을 일부 구성하는 복수의 관통홀이 형성되어 있는 원판형 베이스 플레이트와,
    상기 그루브 내에서 상기 제1 배플 플레이트의 중심축을 중심으로 회전 가능하게 삽입되고, 상기 제1 관통홀이 형성되도록 상기 베이스 플레이트의 중심부에 형성된 관통홀과 연통 가능한 복수의 관통홀이 형성되어 있는 원판형 인서트 플레이트로 이루어지는 것을 특징으로 하는 샤워 헤드.
  83. 제82항에 있어서,
    상기 인서트 플레이트의 회전 거리에 따라 상기 제1 관통홀의 개구율을 변화시킬 수 있도록 하기 위하여 상기 인서트 플레이트에 형성된 복수의 관통홀과, 상기 베이스 플레이트의 중심부에 형성된 복수의 관통홀은 각각 상기 제1 배플 플레이트의 중심축을 기준으로 일부 각도 범위에만 선택적으로 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  84. 제70항에 있어서, 상기 간격 조절 장치는
    상기 갭의 폭을 결정하기 위하여 상기 제1 배플 플레이트를 선택적으로 상하 이동시키기 위한 구동 샤프트를 포함하는 것을 특징으로 하는 샤워 헤드.
  85. 제70항에 있어서,
    상기 제1 배플 플레이트에 형성된 복수의 제1 관통홀 및 제2 관통홀중에서 선택된 일부의 관통홀과 상기 제2 배플 플레이트에 형성되어 있는 복수의 관통홀중 일부가 연통되어 얼라인홀(align hole)이 형성되도록 상기 제1 배플 플레이트와 제2 배플 플레이트가 서로 접해 있고,
    상기 갭의 폭은 실질적으로 0인 것을 특징으로 하는 샤워 헤드.
  86. 제85항에 있어서,
    상기 제2 배플 플레이트에 대하여 상기 제1 배플 플레이트가 소정의 각도 범위에서 회전 가능하도록 상기 제1 배플 플레이트에 연결되어 있는 회전 기구를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  87. 제86항에 있어서,
    상기 제2 배플 플레이트에 형성된 복수의 관통홀은 상기 제2 배플 플레이트의 중심축을 기준으로 회전 거리에 따라 서로 다른 개구율을 가지도록 분포되어 있고,
    상기 얼라인 홀의 개구 위치를 변화시키기 위하여 상기 회전 기구는 상기 제1 배플 플레이트의 회전 각도를 변화시키는 것을 특징으로 하는 샤워 헤드.
  88. 제86항에 있어서,
    상기 제1 배플 플레이트에는 상기 제2 반경 거리 범위보다 큰 제3 반경 거리 범위에 있는 복수의 제3 관통홀이 더 형성되어 있고,
    상기 제1 배플 플레이트는 그 중심축을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역으로 구분되고,
    상기 제1 관통홀, 제2 관통홀 및 제3 관통홀은 각각 서로 다른 부채꼴 영역에 형성되어 있는 것을 특징으로 하는 샤워 헤드.
  89. 제88항에 있어서, 상기 제2 배플 플레이트는 그 중심축을 기준으로 방사상으로 연장되는 복수의 부채꼴 영역으로 구분되고, 상기 제2 배플 플레이트의 복수의 부채꼴 영역중 상기 복수의 관통홀이 형성된 부채꼴 영역이 규칙적인 간격으로 위치하도록 배치되어 있는 것을 특징으로 하는 샤워 헤드.
  90. 제70항에 있어서,
    복수의 관통홀이 형성되어 있고, 상기 프로세스 영역에 대면하고 있는 페이스 플레이트(face plate)를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  91. 제90항에 있어서,
    상기 제2 배플 플레이트와 상기 페이스 플레이트 사이에 설치되고, 복수의 관통홀이 형성되어 있는 제3 배플 플레이트를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  92. 제91항에 있어서, 상기 제3 배플 플레이트는 SiC(silicon carbide)로 이루어지는 것을 특징으로 하는 샤워 헤드.
  93. 복수의 관통홀이 형성되어 있는 원형의 제1 배플 플레이트와,
    소정의 폭을 가지는 갭을 사이에 두고 상기 제1 배플 플레이트의 아래에 설치되고 복수의 관통홀이 형성되어 있는 원형의 제2 배플 플레이트와,
    상기 제1 배플 플레이트에 형성된 관통홀을 통한 반응 가스의 유량을 제어하기 위하여 상기 제1 배플 플레이트와 제2 배플 플레이트 사이에 설치된 압전 소자를 포함하는 것을 특징으로 하는 샤워 헤드.
  94. 제93항에 있어서, 상기 제1 배플 플레이트에 형성된 복수의 관통홀은
    상기 제1 배플 플레이트의 중심축으로부터 제1 반경 거리 만큼 이격된 위치에 형성된 복수의 제1 관통홀과,
    상기 제1 배플 플레이트의 중심축으로부터 상기 제1 반경 거리보다 큰 제2 반경 거리 만큼 이격된 위치에 형성된 복수의 제2 관통홀과,
    상기 제1 배플 플레이트의 중심축으로부터 상기 제2 반경 거리보다 큰 제3 반경 거리 만큼 이격된 위치에 형성된 복수의 제3 관통홀로 이루어지는 것을 특징으로 하는 샤워 헤드.
  95. 제94항에 있어서, 상기 제2 배플 플레이트에 형성된 복수의 관통홀은
    상기 제2 배플 플레이트의 중심축 위치에 형성된 제4 관통홀과,
    상기 제2 배플 플레이트의 중심축으로부터 제4 반경 거리 만큼 이격된 위치에 형성된 복수의 제5 관통홀과,
    상기 제2 배플 플레이트의 중심축으로부터 상기 제4 반경 거리보다 큰 제5 반경 거리 만큼 이격된 위치에 형성된 복수의 제6 관통홀과,
    상기 제2 배플 플레이트의 중심축으로부터 상기 제5 반경 거리보다 큰 제6 반경 거리 만큼 이격된 위치에 형성된 복수의 제7 관통홀로 이루어지는 것을 특징으로 하는 샤워 헤드.
  96. 제93항에 있어서, 상기 압전 소자는 상기 제2 배플 플레이트 위에 형성된 복수의 환형 소자로 이루어지는 것을 특징으로 하는 샤워 헤드.
  97. 제93항에 있어서, 상기 압전 소자는 상기 제2 배플 플레이트의 위에 접합되어 있는 것을 특징으로 하는 샤워 헤드.
  98. 제94항에 있어서, 상기 압전 소자는
    상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 제1 관통홀이 형성된 위치에 대응되는 위치에 설치되는 제1 압전 소자와,
    상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 제2 관통홀이 형성된 위치에 대응되는 위치에 설치되는 제2 압전 소자와,
    상기 제2 배플 플레이트상에서 상기 제1 배플 플레이트의 제3 관통홀이 형성된 위치에 대응되는 위치에 설치되는 제3 압전 소자로 이루어지는 것을 특징으로 하는 샤워 헤드.
  99. 제93항에 있어서,
    상기 압전 소자에 전압을 인가하기 위한 파워 공급부를 더 포함하는 것을 특징으로 하는 샤워 헤드.
  100. 제98항에 있어서,
    상기 제1 압전 소자, 제2 압전 소자 및 제3 압전 소자에 각각 전압을 인가하기 위한 파워 공급부를 더 포함하고,
    상기 파워 공급부는 상기 제1 압전 소자, 제2 압전 소자 및 제3 압전 소자에 각각 서로 다른 크기의 전압을 인가하는 것을 특징으로 하는 샤워 헤드.
  101. 제98항에 있어서,
    상기 제1 압전 소자에 전압을 인가하기 위한 파워 공급부를 더 포함하고,
    상기 제1 관통홀과 상기 제1 압전 소자와의 사이의 거리를 조절할 수 있도록 상기 제1 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절 가능한 두께 팽창율을 가지는 것을 특징으로 하는 샤워 헤드.
  102. 제98항에 있어서,
    상기 제2 압전 소자에 전압을 인가하기 위한 파워 공급부를 더 포함하고,
    상기 제2 관통홀과 상기 제2 압전 소자와의 사이의 거리를 조절할 수 있도록 상기 제2 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절 가능한 두께 팽창율을 가지는 것을 특징으로 하는 샤워 헤드.
  103. 제98항에 있어서,
    상기 제3 압전 소자에 전압을 인가하기 위한 파워 공급부를 더 포함하고,
    상기 제3 관통홀과 상기 제3 압전 소자와의 사이의 거리를 조절할 수 있도록 상기 제3 압전 소자는 상기 파워 공급부로부터 인가되는 전압의 크기에 따라 조절 가능한 두께 팽창율을 가지는 것을 특징으로 하는 샤워 헤드.
  104. 제93항에 있어서, 상기 제1 배플 플레이트 및 제2 배플 플레이트는 각각 알루미늄으로 이루어지는 것을 특징으로 하는 샤워 헤드.
  105. 제93항에 있어서, 상기 압전 소자는
    2개의 주면을 포함하고 전압 인가에 따라 두께 팽창 모드로 진동하는 압전층과,
    상기 2개의 주면상에 각각 형성된 제1 전극층 및 제2 전극층과,
    상기 제1 배플 플레이트에 인접하게 위치된 상기 제1 전극층상에 형성된 절연층을 포함하는 것을 특징으로 하는 샤워 헤드.
  106. 제105항에 있어서, 상기 압전층은 PZT(lead zirconate titanate), PbTiO3, BaTiO3또는 PVDF 폴리머(poly vinylidene fluoride)로 이루어지는 것을 특징으로 하는 샤워 헤드.
  107. 제105항에 있어서, 상기 제2 전극은 상기 제2 배플 플레이트에 의해 구성되는 것을 특징으로 하는 샤워 헤드.
  108. 제107항에 있어서, 상기 압전 소자는
    상기 압전층과 상기 제2 배플 플레이트와의 접합면을 더 포함하는 것을 특징으로 하는 샤워 헤드.
KR10-2001-0042822A 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 KR100400044B1 (ko)

Priority Applications (9)

Application Number Priority Date Filing Date Title
KR10-2001-0042822A KR100400044B1 (ko) 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US10/178,757 US6872258B2 (en) 2001-07-16 2002-06-25 Shower head of a wafer treatment apparatus having a gap controller
JP2002206765A JP4246450B2 (ja) 2001-07-16 2002-07-16 ウェーハ処理装置のシャワーヘッド
CNB2005101135327A CN100435274C (zh) 2001-07-16 2002-07-16 具有间隙控制器的晶片处理设备的喷头
DE10232206A DE10232206B4 (de) 2001-07-16 2002-07-16 Sprühvorrichtung für eine Wafer-Behandlungsvorrichtung mit einer Zwischenraum-Steuervorrichtung
TW091115836A TW565903B (en) 2001-07-16 2002-07-16 Shower head of a wafer treatment apparatus having a gap controller
CNB021268762A CN1265441C (zh) 2001-07-16 2002-07-16 具有间隙控制器的晶片处理设备的喷头
US11/057,752 US20050145338A1 (en) 2001-07-16 2005-02-15 Shower head of a wafer treatment apparatus having a gap controller
JP2008236763A JP2008300888A (ja) 2001-07-16 2008-09-16 ウェーハ処理装置のシャワーヘッド

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0042822A KR100400044B1 (ko) 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드

Publications (2)

Publication Number Publication Date
KR20030008068A KR20030008068A (ko) 2003-01-24
KR100400044B1 true KR100400044B1 (ko) 2003-09-29

Family

ID=36772450

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0042822A KR100400044B1 (ko) 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드

Country Status (6)

Country Link
US (2) US6872258B2 (ko)
JP (2) JP4246450B2 (ko)
KR (1) KR100400044B1 (ko)
CN (2) CN100435274C (ko)
DE (1) DE10232206B4 (ko)
TW (1) TW565903B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100725613B1 (ko) 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치

Families Citing this family (466)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1328766C (zh) * 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
WO2003023835A1 (en) * 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR100562306B1 (ko) * 2004-09-13 2006-03-22 동부아남반도체 주식회사 화학기계적 연마장치
US20060138925A1 (en) * 2004-12-28 2006-06-29 Yi-Fang Cheng Plasma processing device having a ring-shaped air chamber for heat dissipation
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
TW200644090A (en) * 2005-03-30 2006-12-16 Matsushita Electric Ind Co Ltd Plasma doping method and system
JP3984638B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路対及び伝送線路群
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
KR100768673B1 (ko) * 2006-05-30 2007-10-22 (주)위지트 샤워헤드
KR101217522B1 (ko) * 2006-09-07 2013-01-02 주식회사 원익아이피에스 유량조절블럭을 구비한 샤워헤드
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
CN101179005B (zh) * 2006-11-10 2010-10-27 Tes股份有限公司 排气系统及使用此系统制造薄膜的半导体制造装置与方法
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR100901118B1 (ko) * 2007-08-24 2009-06-08 주식회사 케이씨텍 박막 증착장치의 분사유닛
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
JP4956469B2 (ja) * 2008-03-24 2012-06-20 株式会社ニューフレアテクノロジー 半導体製造装置
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US20100112212A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5150461B2 (ja) * 2008-11-14 2013-02-20 芝浦メカトロニクス株式会社 プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5777615B2 (ja) * 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdチャンバの流れ制御機構
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
DE102010000388A1 (de) * 2010-02-11 2011-08-11 Aixtron Ag, 52134 Gaseinlassorgan mit Prallplattenanordnung
CN102193565A (zh) * 2010-03-19 2011-09-21 上海微电子装备有限公司 气浴控温装置及方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931050B (zh) * 2011-08-10 2017-10-31 中国科学院微电子研究所 一种新型的常压等离子体自由基清洗喷枪进气方式
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
JP5860063B2 (ja) * 2011-12-22 2016-02-16 キヤノンアネルバ株式会社 基板処理装置
CN103184432B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(北京)有限公司 注入装置及处理设备
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
KR101715460B1 (ko) * 2012-06-08 2017-03-10 도쿄엘렉트론가부시키가이샤 가스 처리 방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101505948B1 (ko) * 2013-12-16 2015-03-26 피에스케이 주식회사 배플 어셈블리 및 이를 가지는 기판 처리 장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104195525B (zh) * 2014-08-15 2016-08-24 沈阳拓荆科技有限公司 两种气体独立均匀喷气喷淋装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP1545606S (ko) * 2015-08-26 2016-03-14
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105091506A (zh) * 2015-08-31 2015-11-25 武汉华星光电技术有限公司 真空干燥装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017062087A1 (en) 2015-10-08 2017-04-13 Applied Materials, Inc. Showerhead with reduced backside plasma ignition
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017149739A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR20180053491A (ko) * 2016-11-11 2018-05-23 삼성전자주식회사 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
JP6944544B2 (ja) * 2017-05-31 2021-10-06 エス・ハー・エル・メディカル・アクチェンゲゼルシャフトShl Medical Ag ノズル装置およびその製造方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10699879B2 (en) * 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200139841A (ko) * 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 유동 분배 튜닝을 위한 범용 조정가능 블로커 플레이트
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
KR102110232B1 (ko) * 2018-09-18 2020-05-13 주식회사 테스 가스공급유닛
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) * 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4268271A1 (en) * 2020-12-22 2023-11-01 Mattson Technology, Inc. Workpiece processing apparatus with gas showerhead assembly
CN114686853B (zh) * 2020-12-31 2023-09-01 拓荆科技股份有限公司 可控气流分布的气体喷头
CN112689376B (zh) * 2021-03-15 2021-06-18 四川大学 一种采用压电材料的微波等离子体射流激发装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11769659B2 (en) * 2021-08-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Showerhead assembly and method of servicing assembly for semiconductor manufacturing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
KR19990088207A (ko) * 1998-05-13 1999-12-27 히가시 데쓰로 매엽식씨브이디장치및방법
KR20010060128A (ko) * 1999-12-31 2001-07-06 박종섭 반도체의 건식각 공정용 가스 공급 장치
US6284673B2 (en) * 1999-07-08 2001-09-04 Genus Inc. Method for providing uniform gas delivery to substrates in CVD and PECVD processes
EP1134789A2 (en) * 2000-03-16 2001-09-19 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3809050A (en) * 1971-01-13 1974-05-07 Cogar Corp Mounting block for semiconductor wafers
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
JPS61167364A (ja) * 1985-01-18 1986-07-29 Diesel Kiki Co Ltd 高速電磁弁
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE69213340T2 (de) * 1991-05-30 1997-03-27 Hitachi Ltd Ventil und seine Verwendung in einer Vorrichtung hergestellt aus Halbleitermaterial
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5432936A (en) * 1992-04-09 1995-07-11 Microsoft Corporation Method for implementing pointers to members in a compiler for an object-oriented programming language
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
WO1995029169A2 (de) * 1994-04-20 1995-11-02 Lonza Ag Verfahren zur herstellung von 2-piperazincarbonsäurederivaten
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
CN1171137A (zh) * 1994-11-16 1998-01-21 B·F·谷德里奇公司 压力梯度化学蒸汽渗透和沉积的设备及工艺和制品
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US5968374A (en) * 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6207006B1 (en) * 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6454864B2 (en) * 1999-06-14 2002-09-24 Cutek Research, Inc. Two-piece chuck
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
CN2399574Y (zh) * 1999-11-17 2000-10-04 吴忠仪表股份有限公司 压电双晶体阀
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
KR19990088207A (ko) * 1998-05-13 1999-12-27 히가시 데쓰로 매엽식씨브이디장치및방법
US6284673B2 (en) * 1999-07-08 2001-09-04 Genus Inc. Method for providing uniform gas delivery to substrates in CVD and PECVD processes
KR20010060128A (ko) * 1999-12-31 2001-07-06 박종섭 반도체의 건식각 공정용 가스 공급 장치
EP1134789A2 (en) * 2000-03-16 2001-09-19 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100725613B1 (ko) 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치

Also Published As

Publication number Publication date
CN1265441C (zh) 2006-07-19
US20050145338A1 (en) 2005-07-07
CN1397991A (zh) 2003-02-19
US6872258B2 (en) 2005-03-29
US20030010452A1 (en) 2003-01-16
JP4246450B2 (ja) 2009-04-02
DE10232206B4 (de) 2006-02-09
KR20030008068A (ko) 2003-01-24
JP2003051489A (ja) 2003-02-21
TW565903B (en) 2003-12-11
JP2008300888A (ja) 2008-12-11
DE10232206A1 (de) 2003-02-27
CN1781608A (zh) 2006-06-07
CN100435274C (zh) 2008-11-19

Similar Documents

Publication Publication Date Title
KR100400044B1 (ko) 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
KR102151369B1 (ko) 인터리빙된 플래넘 서브-볼륨들을 갖는 듀얼-플래넘 샤워헤드
US5746875A (en) Gas injection slit nozzle for a plasma process reactor
TW202111839A (zh) 基板處理設備
JP3946641B2 (ja) 処理装置
TWI543253B (zh) 用於製造半導體裝置之注入元件及包含它之電漿加工設備
JP5276388B2 (ja) 成膜装置及び基板処理装置
JP4973150B2 (ja) ガス導入機構及び被処理体の処理装置
JP2018046114A (ja) 基板処理装置
KR20180127195A (ko) 기판 처리 장치
WO2009089794A1 (en) Plasma processing equipment and gas distribution apparatus thereof
KR20160091821A (ko) 기판 처리 장치
KR20210103278A (ko) 기판 처리 장치
TWI781346B (zh) 具有精確溫度和流量控制的多站腔室蓋
WO2022245761A1 (en) Movable disk with aperture for etch control
CN109119366B (zh) 一种半导体处理装置
JP2023545666A (ja) ケーブル牽引型輸送装置の可動ケーブルの等電位化
WO2022244041A1 (ja) プラズマ処理装置
KR20190132137A (ko) 박막 형성 장치 및 이를 이용한 박막 형성 방법
KR102508891B1 (ko) 원자층 증착 장치
KR101183616B1 (ko) 기판처리장치
JP6758218B2 (ja) 圧力制御方法
TW202240010A (zh) 沉積設備及使用交錯泵送位置的方法
KR20240000250A (ko) 다단 히터를 구비한 박막 증착 장치
KR20230133420A (ko) 대면적 단일 챔버를 갖는 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080904

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee