DE2610556C2 - Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt - Google Patents

Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt

Info

Publication number
DE2610556C2
DE2610556C2 DE19762610556 DE2610556A DE2610556C2 DE 2610556 C2 DE2610556 C2 DE 2610556C2 DE 19762610556 DE19762610556 DE 19762610556 DE 2610556 A DE2610556 A DE 2610556A DE 2610556 C2 DE2610556 C2 DE 2610556C2
Authority
DE
Germany
Prior art keywords
section
openings
flow
rings
cover plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE19762610556
Other languages
English (en)
Other versions
DE2610556B1 (de
Inventor
Heinz Dipl.-Ing 8500 Nürnberg; Güßefeld Horst 8521 Uttenreuth Christoph
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE19762610556 priority Critical patent/DE2610556C2/de
Priority to FR7705277A priority patent/FR2343912A1/fr
Priority to GB7972/77A priority patent/GB1581729A/en
Priority to CA273,598A priority patent/CA1091543A/en
Priority to US05/776,867 priority patent/US4134425A/en
Priority to JP2692777A priority patent/JPS52111470A/ja
Publication of DE2610556B1 publication Critical patent/DE2610556B1/de
Application granted granted Critical
Publication of DE2610556C2 publication Critical patent/DE2610556C2/de
Expired legal-status Critical Current

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15DFLUID DYNAMICS, i.e. METHODS OR MEANS FOR INFLUENCING THE FLOW OF GASES OR LIQUIDS
    • F15D1/00Influencing flow of fluids
    • F15D1/001Flow of fluid from conduits such as pipes, sleeves, tubes, with equal distribution of fluid flow over the evacuation surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J8/00Chemical or physical processes in general, conducted in the presence of fluids and solid particles; Apparatus for such processes
    • B01J8/02Chemical or physical processes in general, conducted in the presence of fluids and solid particles; Apparatus for such processes with stationary particles, e.g. in fixed beds
    • B01J8/0278Feeding reactive fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/12Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means capable of producing different kinds of discharge, e.g. either jet or spray
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F02COMBUSTION ENGINES; HOT-GAS OR COMBUSTION-PRODUCT ENGINE PLANTS
    • F02MSUPPLYING COMBUSTION ENGINES IN GENERAL WITH COMBUSTIBLE MIXTURES OR CONSTITUENTS THEREOF
    • F02M27/00Apparatus for treating combustion-air, fuel, or fuel-air mixture, by catalysts, electric means, magnetism, rays, sound waves, or the like
    • F02M27/02Apparatus for treating combustion-air, fuel, or fuel-air mixture, by catalysts, electric means, magnetism, rays, sound waves, or the like by catalysts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2208/00Processes carried out in the presence of solid particles; Reactors therefor
    • B01J2208/00008Controlling the process
    • B01J2208/00548Flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/14Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening
    • B05B1/16Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening having selectively- effective outlets
    • B05B1/1627Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening having selectively- effective outlets with a selecting mechanism comprising a gate valve, a sliding valve or a cock
    • B05B1/1636Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means with multiple outlet openings; with strainers in or outside the outlet opening having selectively- effective outlets with a selecting mechanism comprising a gate valve, a sliding valve or a cock by relative rotative movement of the valve elements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86493Multi-way valve unit
    • Y10T137/86718Dividing into parallel flow paths with recombining
    • Y10T137/86734With metering feature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86493Multi-way valve unit
    • Y10T137/86718Dividing into parallel flow paths with recombining
    • Y10T137/86743Rotary

Description

Die Erfindung betrifft eine Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt, bestehend aus einer den Strömungsquerschnitt ausfüllenden Verteilerplatte, über die eine Vielzahl von Durchtrittsöffnungen verteilt ist, und einer an der Verteilerplatte angeordneten Deckplatte, die zu den Durchtrittsöffnungen passende Durchlässe aufweist, wobei der Durchtrittsquerschnitt der Durchtrittsöffnungen durch entsprechende Stellung der Deckplatte festlegbar ist.
Verteiler werden z. B. in Anlagen für chemische Prozesse benötigt, bei denen in einer mit Füllkörpern gefüllten Kammer die Füllkörper möglichst gleichmäßig mit einem gasförmigen oder flüssigen Medium in Kontakt gebracht werden sollen. Auch zur Belüftung von Flüssigkeiten mit Gasen ist es oft erforderlich, daß in die mit Flüssigkeit gefüllte Kammer das Gas in möglichst gleichmäßiger Verteilung eingeleitet wird. Besonderer Bedarf an einem derartigen Verteiler besteht, wenn eine zylindrische, mit einem Katalysator gefüllte Kammer mit einem aus einem engeren Zuleitungsrohr kommenden Gemisch von gasförmigen Reaktanten so beschickt werden soll, daß die Reaktanten möglichst gleichmäßig über den gesamten Kammerquerschnitt verteilt werden, damit der Katalysator möglichst gleichmäßig belastet wird.
Bei in Rohrleitungen strömenden Medien liegt nach den Untersuchungen von Hagen und P ο i s e u i 11 e in der Mitte des Rohres meist eine Kernströmung mit erhöhtem Durchfluß, an den Rohrwänden jedoch eine gebremste Strömung mit kleinem Durchfluß vor. Erweitern sich die Rohrleitungen, z. B. wenn ein enges Zuleitungsrohr auf den Eingangsquerschnitt einer Kammer aufgeweitet wird, so entstehen in den Randbereichen der Kernströmung noch zusätzlich Turbulenzen, die zu einer weiteren Störung der Verteilung in Nähe der Rohrwände führen.
Um das strömende Medium über einen Strömungsquerschnitt zu verteilen, werden beispielsweise in Brausen von Duschanlagen den Strömungsquerschnitt ausfüllende, von einer Vielzahl von Durchtrittsöffnungen durchsetzte Verteilerplatten verwendet, an denen das Medium aufgestaut und über die Durchtrittsöffnungen verteilt wird. Die Wirkung derartiger Verteilerplat- &5 ten ist jedoch stark von den jeweiligen Strömungsverhältnissen abhängig. Ist nämlich bei vorgegebener Größe und Anordnung der Durchtrittsöffnungen der Massendurchfluß durch die Vorrichtung zu gering, so kommt es nicht zu einer ausreichenden Stauwirkung und Verteilung der Medien. Umgekehrt ist bei zu großem Massendurchfluß die Stanwirkung der Verteilerfläche so groß, daß ein erheblicher, bei vielen Anwendungen unerwünschter Druck- und Energieverlust an dem Verteiler auftritt. Hinzu kommt, daß die Verteilerwirkung in erheblichem Maße auch von den Strömungsverhältnissen hinter der Verteilerfläche abhängt. So kann z. B. in einer der Verteilerfläche nachgeschalteten Füllkörperschüttung infolge von Verunreinigungen der Strömungswiderstand in gewissen Bereichen zunehmen. Als Folge hiervon sinkt der Massendurchfluß durch diese Bereiche und die angestrebte gleichmäßige Verteilung wird gestört.
Aus der DT-AS 11 38 290 ist eine mehrstufige Vorrichtung zur Entspannung hoher Drücke und zur Regelung von unter hohem Druck strömenden Medien bekannt, die in jeder Stufe ein feststehendes Sitzteil mit Drosselöffnungen und ein zugehöriges bewegbares Verschlußstück enthält, durch das die Drosselöffnungen freigegeben oder verschlossen werden können. Das Verschlußstück kann als Kolbenschieber, Plattenschieber oder Drehschieber ausgeführt sein. Die Vorrichtung dient dazu, die Druckenergie der strömenden Medien möglichst schwingungs- und geräuscharm sowie wirbelfrei zu vernichten, es wird also ein möglichst hoher Druckverlust angestrebt. Die Drosselöffnungen können in zwei gegenüberliegenden Quadranten eines scheibenförmigen Sitzteiles angeordnet sein, wobei das Verschlußstück als Deckplatte ausgebildet ist und in zwei gegenüberliegenden Quadranten sektorförmige Durchbrechungen aufweist. Durch Drehen der Deckplatte wird ein Teil oder die Gesamtheit der öffnungen abgedeckt und somit der Gesamtdurchtrittsquerschnitt aller öffnungen festgelegt. Sitzteil und Verschlußstück können aber auch als konzentrische Ringe mit längs ihres Umfangs angeordneten Öffnungen und Durchlässen ausgebildet sein, wobei durch Drehen des Verschlußstückes der freie Durchtriitsquerschnitt jeder öffnung veränderbar ist. Bei dieser Anordnung der Drosselöffnungen wird keine gleichmäßige Verteilung des strömenden Mediums über den gesamten Strömungsquerschnitt der Vorrichtung erreicht.
Aus der US-PS 30 23 775 ist eine Drosselvorrichtung bekannt, die eine feste Sitzplatte und eine daran anschließende, drehbare Deckplatte aufweist, wobei die Sitzplatte eine Vielzahl von öffnungen und die Deckplatte entsprechende, dazu passende Durchlässe besitzen. Die öffnungen selbst sind kreisförmig und auf konzentrischen Kreisen um den Mittelpunkt der Sitzplatte gleichmäßig angeordnet. Der Durchmesser der öffnungen auf jedem Kreis ist kleiner als der halbe Abstand der Öffnungsmittelpunkte. Zum Plattenmittelpunkt nehmen die Öffnungsdurchmesser ab. Durch eine starre Drehung der Deckplatte können die Durchlässe mehr oder weniger mit den Durchtrittsöffnungen zur Deckung gebracht werden, so daß der Durchtrittsquerschnitt jeder öffnung für alle öffnungen in gleichem Maße verändert wird. Die Vorrichtung dient zur Durchsatzregelung für strömende Medien.
Der Erfindung liegt die Aufgabe zugrunde, eine Vorrichtung der eingangs angegebenen Art so auszugestalten, daß aus einer Zuleitung strömende Medien gleichmäßig oder in einer anderen, für die Anwendung wünschenswerten Weise über den Strömungsquerschnitt verteilt werden können. Die Vorrichtung soll dabei ferner an die jeweils auftretenden Strömungsver-
hältnisse derart angepaßt werden können, daß der auftretende Druckverlust klein gehalten wird.
Dies wird erfindungsgemäß dadurch erreicht, daß die Deckplatte aus einer Kreisscheibe und die Kreisscheibe konzentrisch umschließenden Ringen besieht und daß die Kreisscheibe und die Ringe unabhängig voneinander drehbar sind.
Bei der erfindungsgemäßen Vorrichtung sind die öffnungen der Verteilerplatte demnach dem Medienstrom nur in dem Maße zugänglich, wie sie von den Durchlässen überlappt und somit freigegeben werden. Der an den Überlappungen entstehende Druckunterschied wächst mit fallender Größe der überlappten Fläche. Bei gegebenen Massendurchsätzen durch die Vorrichtung wird man die Überlappungen so wählen, '5 daß der auftretende Druckverlust nicht über das zur Ausbildung der Verteilung nötige Maß hinaus anwächst Bei kleinen Massendurchsätzen wird man also kleine, bei größeren Durchsätzen größere Überlappungen einstellen. Die gegenseitige Lage von V^rteilerplatte und Deckplatte kann sodann durch Schweißpunkte oder durch Schrauben fixiert werden.
Die erfindungsgemäße Vorrichtung kann wegen ihrer einfachen Verstellbarkeit bei Anlagen benutzt werden, die zwar gleiche Eintrittsquerschnitte besitzen, aber im übrigen unterschiedlich ausgelegt sind und in denen unterschiedliche Stroinungsverhältnisse herrschen. Man kann daher für diese Kammern mit einem einzigen, serienmäßig herstellbaren Typ der erfindungsgemäßen Vorrichtung auskommen und es werden nicht jeweils unterschiedliche Verteilervorrichtungen benötigt, zu deren Herstellung unterschiedliche Werkzeuge erforderlich wären.
Durch entsprechende Drehung der Ringe kann dann der lokale Strömungswiderstand an der Kreisscheibe und den Ringen unabhängig voneinander verändert werden.
Der Strömungswiderstand wird damit zu einer Funktion des Ortes auf der Verteilerfläche. Diese Funktion kann so gewählt und den vorliegenden Strömungsverhältnissen angepaßt werden, daß die strömenden Medien mit der gewünschten Verteilung die öffnungen und Durchlässe durchströmen. Soll das strömende Medium beispielsweise so in eine Katalysatorkammer geleitet werden, daß die in Nähe der Kammerachse austretende Kernströmung verlangsamt und der Durchsatz in Nähe der Kammerwände erhöht wird, so kann man dies durch eine Stellung der Kreisscheibe utid Ringe erreichen, bei der die Durchlässe in der Kreisscheibe und den inneren Ringen die öffnungen der Verteilerplatte kaum, in den äußeren Ringen jedoch stark überlappen.
Sollten sich ferner bei längeren Betriebszeiten in der Kammer Verunreinigungen absetzen, die z. D. in Nähe der Kammerwände zu einer Erhöhung des Stiömungs-Widerstandes führen, so kann man die dadurch entstehende Störung der gleichmäßigen Strömung durch entsprechende Vergrößerung der Überlappungen in den inneren Ringen korrigieren.
Die Verteilerplatte der erfindungsgemäßen Vorrichtung kann eine ebene Lochplatte sein, sie kann aber auch gewölbt oder konisch ausgebildet sein, wobei die Deckplatte eine gleiche geometrische Gestalt aufweist. Vorteilhaft sind die öffnungen und Durchlässe kreisförmig. Ihre Mittelpunkte liegen hierbei vorzugsweise auf konzentrischen Kreisen um den Mittelpunkt der Kreisscheibe und haben auf jedem Kreis gleichen Abstand voneinander, wobei die Durchmesser der öffnungen bzw. Durchlässe auf jedem Kreis weniger als den halben Abstand der Mittelpunkte betragen. Dadurch kann eine vollständige, teilweise oder verschwindende Überlappung der Durchlässe mit den öffnungen eingestellt werden.
Bevorzugt sind die Durchlässe in der Deckplatte und die öffnungen in der Verteilerplatte derart ausgebildet und angeordnet, daß sie bei entsprechender Stellung der Ringe und der Kreisscheibe miteinander vollständig zur Deckung kommen.
Anhand zweier Figuren und eines Ausführungsbeispiels soll die Erfindung noch näher erläutert werden.
F i g. 1 zeigt im Schnitt ein trichterförmiges Rohrstück mit einem Ausführungsbeispiel einer erfindungsgemäßen Vorrichtung,
F i g. 2 einen Querschnitt durch die Vorrichtung nach Fig. 1 entlang der Schnittlinie H-II.
In ein konisch sich erweiterndes Führungsrohr 1 mit einem Einlaß 2 an der Spitze und einem Auslaß 3 am erweiterten Ende ist am erweiternden Ende eine kreisförmige Verteiierplatte 4 mit einer darüberliegenden Deckplatte 5 eingesetzt. Die Verteilerplatte 4 weist auf vier konzentrischen Kreisen 6 gelegene, kreisförmige öffnungen 7 auf, deren Durchmesser vom Mittelpunkt nach außen zunehmend größer werden. Die Abstände der Mittelpunkte der öffnungen sind längs eines Kreises konstant und betragen mindestens das Doppelte des Durchmessers der auf diesem Kreis liegenden öffnungen.
Die darüberliegende Deckplatte 5 besteht aus einer zentralen Kreisscheibe 8 und drei dazu konzentrischen Ringen 9, 10 und 11, die gegeneinander und gegenüber der Verteilerplatte 4 um die gemeinsame Rotationsachse 12 drehbar sind. Die Kreisscheibe 8 und die Ringe 9, 10 und 11 enthalten Durchlässe 13, 14, 15 und 16, die so zu den öffnungen 7 passen, daß sie mit dieser bei entsprechender Stellung der Kreisscheibe 8 bzw. der Ringe 9, tO und 11 genau zur Deckung kommen. Bei der in den Fig. 1 und 2 gezeigten Stellung ist die Kreisscheibe 8 so gegenüber der Verteilerplatte 4 verdreht, daß sich ihre Durchlässe 13 nicht mit öffnungen der Ve. teilerplatte 4 überlappen. Die Durchlässe 14 der inneren Kreisscheibe 9 überlappen sich nur geringfügig mit den zugehörigen öffnungen 7 der Verteilerplatte, die Überlappung der Durchlässe 15 des mittleren Ringes 10 mit den zugehörigen öffnungen 7 ist nahezu vollständig und die öffnungen 16 des äußeren Ringes 11 überdecken die zugehörigen öffnungen der Verteilerplatte vollständig.
Ein derartiger Satz aus Verteilerplatte und Deckplatte mit zueinander passenden öffnungen und Durchlässen kann z. B. dadurch hergestellt werden, daß zunächst zwei identische Lochplatten hergestellt und sodann aus einer dieser Lochplatten die Kreisscheibe 8 und die Ringe 9,10 und 11 ausgestanzt werden.
Um die Lage der Kreisscheibe bzw. Ringe zu fixieren, sind Schrauben 17 vorgesehen, die durch Schlitze 18 in der Kreisscheibe und den Ringen hinduich in die Verteilerplatte 8 eingreifen. Diese Schlitze weisen die Form zum Mittelpunkt der Kreisscheibe 8 konzentrischer Kreisbögen auf und sind so lang, daß sie eine Drehung der Kreisscheibe bzw. der Ringe wenigstens soweit gestatten, daß die Überlappung der Durchlässe mit den öffnungen von vollständiger bis zu verschwindender Überlappung verändert werden kann. Durch Eindrehen der Schrauben 17 in die Verteilerplatte 4 werden die Kreisscheibe 8 und die Ringe 9, 10 und 11 zwischen dem Schraubenkonf und der Vpripilpmlattp 4
festgeklemmt.
Die erfindungsgemäße Vorrichtung kann, entsprechend der Natur der zu verteilenden Medien, aus unterschiedlichen Materialien gefertigt sein. Zum Verteilen anorganischer Medien niedriger Temperatur eignen sich beispielsweise im Spritzguß hergestellte Platten aus Kunststoff, für organische Stoffe mit hohen Temperaturen können Metall- oder Keramikplatten verwendet werden.
Die erfindungsgemäße Vorrichtung kann vorteilhaft angewendet werden, um ein aus einem engeren Zuleitungsrohr kommendes Gemisch gasförmiger Reaktanten einer zylindrischen Reaktionskammer stirnseitig zuzuführen, wobei der Eingangsquerschnitt der Reaktionskammer größer als der Querschnitt des Zuleitungsrohres ist und die Reaktionskammer einen Katalysator enthält, der möglichst gleichmäßig belastet werden soll.
Derartige Katalysatorkammern sind z. B. bei Spaltgasgeneratoren vorhanden, die beispielsweise in den deutschen Offenlegungsschriften 2103 008 und 21 35 650 beschrieben sind. In solchen Spaltgasgeneratoren soll kohlenwasserstoffhaltiger flüssiger Brennstoff, beispielsweise verdampftes oder versprühtes Benzin, mit einem sauerstoffhaltigen Gas, beispielsweise Luft, zu einem Brenngas (Spaltgas) umgesetzt werden. Das in solchen Gasgeneratoren erzeugte Brenngas ist zum Betrieb von Brennkraftmaschinen besonders geeignet. Wenn man beispielsweise die Vorrichtung nach F i g. 1 und 2 einem solchen Gasgenerator vorschaltet, wird das durch den Einlaß 2 in das Rohrstück 1 eintretende Benzin-Luft-Gemisch bei der in F i g. 2 gezeigten Stellung der Kreisscheibe 8 und der Ringe 9,10 und 11 im Bereich der Kernströmung, d. h. in Nähe der Achse 12, abgebremst werden. Die zum Plattenrand hin zunehmenden Querschnitte der öffnungen bewirken keine gleichmäßige Verteilung über den Eintrittsquerschnitt der Katalysatorkammer sondern eine Verteilung, bei der der Durchfluß in Nähe der Kammerwand gegenüber der Strömung in Nähe der Achse 12 sogar noch erhöhl ist. Dadurch soll in Nähe der Kammerwand ein verstärkter Durchsatz und z. B. bei exothermer Umsetzung eine erhöhte Wärmeentwicklung erreicht werden, um die Wärmeabstrahlung und andere Wärmeverluste der Kammerwand auszugleichen.
Für den Fall, daß sich von der Kammerwand ausgehend in der Katalysatorfüllung Ruß oder andere Verunreinigungen absetzen, die bei längeren Betriebszeiten des Gasgenerators zu erhöhten Strömungswiderständen in diesen Bereichen der Katalysatorfüllung führen würden, kann auch vorgesehen sein, die Verteilerwirkung der Anordnung in gewissen Zeitabständen an die veränderten Strömungsverhältnissen in der Kammer anzupassen.
Ferner liegt bei dem dargestellten Ausführungsbeispiel die Verteilerplatte 4 über Abstandshaltern 19 auf einer von einer Vielzahl von Durchtrittskanälen 2(1 durchsetzten keramischen Platte 21 auf, die im erweiterten Ende 3 des Führungsrohres 1 auf einem Sprengring 22 aufsitzt. Diese Platte bewirkt die endgültige Verteilung des austretenden Medienstrome:; und dient bei Verwendung der Vorrichtung bei einem Spaltgasgenerator zugleich als Rückschlagsicherung, um das entflammbare Benzin-Luft-Gemisch im Führungsrohr 1 vor den hohen Temperaturen der Katalysatorkammer zu schützen.
Hierzu 1 Blatt Zeichnungen

Claims (1)

  1. Patentanspruch:
    Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt, bestehend aus S einer den Strömungsquerschnitt ausfüllenden Verteilerplatte, über die eine Vielzahl von Durchtrittsöffnungen verteilt ist, und einer an der Verteilerplatte angeordneten Deckplatte, die zu den Durchtrittsöffnungen passende Durchlässe aufweist, wobei der Durchtrittsquerschnitt der Durchtrittsöffnungen durch entsprechende Stellung der Deckplatte festlegbar ist, dadurch gekennzeichnet, daß die Deckplatte aus einer Kreisscheibe und die Kreisscheibe konzentrisch umschließenden Ringen '5 besteht und daß die Kreisscheibe und die Ringe unabhängig voneinander drehbar sind.
DE19762610556 1976-03-12 1976-03-12 Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt Expired DE2610556C2 (de)

Priority Applications (6)

Application Number Priority Date Filing Date Title
DE19762610556 DE2610556C2 (de) 1976-03-12 1976-03-12 Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
FR7705277A FR2343912A1 (fr) 1976-03-12 1977-02-23 Dispositif de distribution de fluides en ecoulement sur une section d'ecoulement
GB7972/77A GB1581729A (en) 1976-03-12 1977-02-24 Distribution device for flowable media
CA273,598A CA1091543A (en) 1976-03-12 1977-03-09 Device for distributing flowing media over a flow cross section
US05/776,867 US4134425A (en) 1976-03-12 1977-03-11 Device for distributing flowing media over a flow cross section
JP2692777A JPS52111470A (en) 1976-03-12 1977-03-11 Distribution apparatus for fluidized medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19762610556 DE2610556C2 (de) 1976-03-12 1976-03-12 Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt

Publications (2)

Publication Number Publication Date
DE2610556B1 DE2610556B1 (de) 1977-06-08
DE2610556C2 true DE2610556C2 (de) 1978-02-02

Family

ID=5972332

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19762610556 Expired DE2610556C2 (de) 1976-03-12 1976-03-12 Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt

Country Status (6)

Country Link
US (1) US4134425A (de)
JP (1) JPS52111470A (de)
CA (1) CA1091543A (de)
DE (1) DE2610556C2 (de)
FR (1) FR2343912A1 (de)
GB (1) GB1581729A (de)

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5495980A (en) * 1978-01-13 1979-07-28 Toshiba Corp Catalytic oxidation apparatus for combustion apparatus of aqueous material
EP0043383B1 (de) * 1980-07-08 1984-06-13 Riedel Kälte- und Klimatechnik GmbH & Co, KG Verdampfer für flüssige und/oder gasförmige Medien, insbesondere für Kälteanlagen und Wärmepumpen
DE3039384A1 (de) * 1980-10-18 1982-05-19 Ruhrkohle Ag Vorrichtung zum verblasen von (alpha) -halbhydrat und/oder (beta) -halbhydrat
JPS58128629U (ja) * 1982-02-23 1983-08-31 鐘淵化学工業株式会社 分散装置を備えた充填塔
US4574837A (en) * 1983-09-29 1986-03-11 Exxon Production Research Co. Method and apparatus for splitting two-phase gas-liquid flows having a known flow profile
DE3906075A1 (de) * 1989-02-27 1990-08-30 Soehlbrand Heinrich Dr Dipl Ch Verfahren zur thermischen behandlung von halbleitermaterialien und vorrichtung zur durchfuehrung desselben
JPH02145651U (de) * 1989-05-15 1990-12-11
US5298226A (en) * 1992-10-02 1994-03-29 Praxair Technology, Inc. Perforated plate fluid distributor and its associated fixed bed vessel
DE4329237C2 (de) * 1993-08-24 1998-04-16 Ver Energiewerke Ag Verfahren und Anordnung zur Vergleichmäßigung der Staubbeladung eines Kohlenstaub-Trägergas-Gemischstromes im Kanal vor einem Kohlenstaubbrenner
US5575618A (en) * 1994-11-25 1996-11-19 Brandon; Ronald E. Steam turbine steam strainer
US5486088A (en) * 1994-11-25 1996-01-23 Brandon; Ronald E. Steam turbine steam strainer
WO1997006304A1 (fr) 1995-08-10 1997-02-20 Sanyo Chemical Industries, Ltd. Procede permettant de blanchir de la pate de cellulose
CN1088144C (zh) * 1995-11-27 2002-07-24 罗纳德·E·布兰登 汽轮机蒸汽过滤器
ATE222798T1 (de) * 1998-12-29 2002-09-15 Shell Int Research Katalytischer reaktor
US20070080189A1 (en) * 2001-06-21 2007-04-12 Jozef Smit Jacobus A Catalytic reactor
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
DE10148926A1 (de) * 2001-10-04 2003-04-17 Forschungszentrum Juelich Gmbh Verteilerstruktur für Betriebsmittel, Verfahren zu ihrer Herstellung und ihre Verwendung
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
TWI274978B (en) * 2004-02-25 2007-03-01 Advanced Display Proc Eng Co Apparatus for manufacturing flat-panel display
US7393438B2 (en) * 2004-07-22 2008-07-01 Phelps Dodge Corporation Apparatus for producing metal powder by electrowinning
JP3984639B2 (ja) * 2005-03-30 2007-10-03 松下電器産業株式会社 伝送線路
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
GB0608927D0 (en) * 2006-05-08 2006-06-14 Accentus Plc Catalytic Reactor
AU2007246840B2 (en) * 2006-05-08 2011-10-13 Compactgtl Plc Catalyst structure for a rapid reaction
BRPI0808036A2 (pt) * 2007-03-01 2014-06-17 Eicproc As Reator para converter metano, amônia e oxigênio e hidróxidos alcalinos ou alcalino-terrosos em cianetos alcalinos ou alcalino-terrosos, e, uso de um reator.
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
AT9603U3 (de) * 2007-08-16 2008-08-15 Avl List Gmbh Rotationsverdünner für fluidströme
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
EP2154114A1 (de) * 2008-07-23 2010-02-17 Nestec S.A. Wasserbehandlungseinheit für Getränkeherstellungsmaschine
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US11607657B2 (en) 2012-02-06 2023-03-21 Helbio S.A. Heat integrated reformer with catalytic combustion for hydrogen production
CA2862527C (en) 2012-02-06 2021-06-29 Helbio Societe Anonyme Hydrogen And Energy Production Systems Heat integrated reformer with catalytic combustion for hydrogen production
US10960372B2 (en) 2012-03-08 2021-03-30 Helbio S.A. Catalytically heated fuel processor with replaceable structured supports bearing catalyst for fuel cell
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
CN104073782A (zh) * 2013-03-28 2014-10-01 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置、反应腔室以及等离子体加工设备
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
RU2535429C1 (ru) * 2013-11-28 2014-12-10 Общество с ограниченной ответственностью "НИУИФ-Инжиниринг" (ООО "НИУИФ-Инжиниринг") Одноходовой кожухотрубчатый теплообменный аппарат
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
FR3036508B1 (fr) * 2015-05-19 2017-06-23 Exel Ind Dispositif de regulation de debit et systeme de melange comprenant un tel dispositif
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10690253B2 (en) * 2017-06-20 2020-06-23 Control Components, Inc. Multi-stage, multi-path rotary disc
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
WO2019033052A1 (en) * 2017-08-11 2019-02-14 Applied Materials, Inc. APPARATUS AND METHODS FOR IMPROVING CHEMICAL VAPOR PHASE (CVD) DEPOSITION UNIFORMITY
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20200139841A (ko) * 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 유동 분배 튜닝을 위한 범용 조정가능 블로커 플레이트
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN110042369A (zh) * 2019-03-26 2019-07-23 云谷(固安)科技有限公司 等离子体增强化学气相沉积的腔室结构及具有其的设备
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210027601A (ko) * 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11085016B1 (en) 2020-01-20 2021-08-10 Chio Kang Medical, Inc. Method for screening bacteria capable of degrading ethylene oxide
US11220667B2 (en) 2020-01-20 2022-01-11 Chio Kang Medical, Inc. Bacteria for degrading ethylene oxide and applications thereof
US11124438B2 (en) 2020-01-20 2021-09-21 Chio Kang Medical, Inc. Alcaligenes faecalis for degrading ethylene oxide
US11130939B2 (en) 2020-01-20 2021-09-28 Chio Kang Medical, Inc. Bacteria for degrading ethylene oxide and uses thereof
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11110390B1 (en) * 2020-03-18 2021-09-07 Chio Kang Medical, Inc. Systems and methods for treating sterilization exhaust gas containing ethylene oxide
US11123680B1 (en) 2020-03-18 2021-09-21 Chio Kang Medical, Inc. Devices, systems, and methods for treating ethylene oxide waste gas
WO2021184605A1 (en) 2020-03-18 2021-09-23 Qiaokang Biotech (Guangdong) Co., LTD. Microbiological treatment system for ethylene oxide exhaust gas
US11125498B1 (en) 2020-03-18 2021-09-21 Chio Kang Medical, Inc. Gas liquefaction separator, gas liquefaction recovery system, and method for separating and recovering ethylene oxide
US11103829B1 (en) 2020-03-18 2021-08-31 Chio Kang Medical, Inc. Systems and methods for treating ethylene oxide waste gas
US11130095B1 (en) 2020-03-18 2021-09-28 Chio Kang Medical, Inc. Sterilization exhaust gas treating system and method for treating ethylene oxide-containing sterilization exhaust gas by using the same
US11129914B1 (en) 2020-03-19 2021-09-28 Chio Kang Medical, Inc. Ethylene oxide adsorption tower and recovery system, and method for recovering ethylene oxide
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022000463A1 (en) 2020-07-03 2022-01-06 Qiaokang Biotech (Guangdong) Co., LTD. Mobile sterilization system, mobile execution system, and mobile analysis system
WO2022000464A1 (en) 2020-07-03 2022-01-06 Qiaokang Biotech (Guangdong) Co., LTD. Mobile pretreatment apparatus and an operating method thereof, and a mobile analysis apparatus and an operating method thereof
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11953104B2 (en) * 2021-01-29 2024-04-09 Pathway Industries, Inc. Rotary multi-way distributor with plural port tracks
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US659514A (en) * 1900-01-25 1900-10-09 Edward S Duffy Sink-strainer.
US1238433A (en) * 1915-10-26 1917-08-28 Bernt Pedersen Carbureter.
US2443071A (en) * 1944-11-28 1948-06-08 Anemostat Corp Air flow control valve
US3023775A (en) * 1958-09-08 1962-03-06 Luther L Willard Nozzle shutoff gate or valve for all fluid media and pressures

Also Published As

Publication number Publication date
FR2343912A1 (fr) 1977-10-07
FR2343912B1 (de) 1980-02-01
JPS52111470A (en) 1977-09-19
DE2610556B1 (de) 1977-06-08
CA1091543A (en) 1980-12-16
US4134425A (en) 1979-01-16
GB1581729A (en) 1980-12-17

Similar Documents

Publication Publication Date Title
DE2610556C2 (de) Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
DE2439144C3 (de) Vorrichtung zum Verteilen strömender Medien von einem Strömungsquerschnitt auf einen davon verschiedenen Strömungsquerschnitt
DE2238182C2 (de) Durchfluß-Steuersystem
DE2839564A1 (de) Vorrichtung zur waermetauschenden und mischenden behandlung von stroemenden medien
DE102005023956A1 (de) Kompakter Totalverdampfer
EP0397768B1 (de) Turbine eines abgasturboladers
DE3108051C2 (de) Entspannungsventil
DE2411883A1 (de) Kohlefilter
DE2845581C2 (de) Steuerscheibenventil
DE1542499C3 (de) Gasdurchlässige Trennwand für die Katalysatorzone in Festbettreaktoren
DE19602106C2 (de) Drehscheibenventil
DE2610556A1 (de)
DE7622478U1 (de) Gehaeuse fuer druckluftaufbereitungsgeraete
DE102018132673A1 (de) Suszeptor für einen CVD-Reaktor
DE3000714C2 (de) Vorrichtung zum gleichmäßigen Verteilen eines Fließmediums in einem Reaktionsraum
DE3530168C1 (de) Regelbarer Helium-II-Phasentrenner
DE3617378C2 (de)
EP2539620B1 (de) Flüssigkeitsverteiler
DE2622094B2 (de) Vorrichtung zur Sprühentgasung von Flüssigkeiten
WO2021160835A1 (de) Gaseinlasseinrichtung für einen cvd-reaktor
EP0134454A1 (de) Mehrstufige geregelte Drosselvorrichtung
DE19709432A1 (de) Vorrichtung zur Behandlung von Abgasen aus Brennkraftmaschinen
DE2364562A1 (de) Fluidmischer
DE2528671A1 (de) Brennkammer fuer fluessig- und gastreibstoffe
DE3936080A1 (de) Drallerzeuger fuer zerstaeuberduesen

Legal Events

Date Code Title Description
8339 Ceased/non-payment of the annual fee