JP2018148143A - シャワープレート、処理装置、及び吐出方法 - Google Patents

シャワープレート、処理装置、及び吐出方法 Download PDF

Info

Publication number
JP2018148143A
JP2018148143A JP2017044260A JP2017044260A JP2018148143A JP 2018148143 A JP2018148143 A JP 2018148143A JP 2017044260 A JP2017044260 A JP 2017044260A JP 2017044260 A JP2017044260 A JP 2017044260A JP 2018148143 A JP2018148143 A JP 2018148143A
Authority
JP
Japan
Prior art keywords
opening
wall
openings
gas
shower plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017044260A
Other languages
English (en)
Inventor
視紅磨 加藤
Shiguma Kato
視紅磨 加藤
貴洋 寺田
Takahiro Terada
貴洋 寺田
益永 孝幸
Takayuki Masunaga
孝幸 益永
誠 大瀧
Makoto Otaki
誠 大瀧
仁 長谷川
Hitoshi Hasegawa
仁 長谷川
浩祐 安達
Kosuke Adachi
浩祐 安達
聡 津野
Satoshi Tsuno
聡 津野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2017044260A priority Critical patent/JP2018148143A/ja
Priority to TW107106280A priority patent/TWI653091B/zh
Priority to KR1020180023575A priority patent/KR102125736B1/ko
Priority to US15/914,585 priority patent/US20180258532A1/en
Priority to CN201810186981.1A priority patent/CN108570662B/zh
Publication of JP2018148143A publication Critical patent/JP2018148143A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Nozzles (AREA)

Abstract

【課題】流体の吐出位置を変更可能であって流体をより均一に吐出可能なシャワープレートを提供する。【解決手段】シャワープレート13は、第1の部材31と、第2の部材32と、を備える。第1の部材31は、複数の第1の開口48が設けられた第1の壁を有し、複数の第1の開口48が連通する拡散室47が内部に設けられる。第2の部材32は、第2の開口58が設けられるとともに拡散室に配置された第2の壁51を有し、第1の部材31から離間した位置に配置され、第1の部材31に対する位置が変化することで第2の開口58と向かい合う第1の開口48を他の第1の開口48と入れ替えることを可能でとする。【選択図】図2

Description

本発明の実施形態は、シャワープレート、処理装置、及び吐出方法に関する。
複数の開口から流体を吐出するシャワープレートが知られる。例えば流体の種類ごとに流体の吐出位置を変更するため、第1の流体が拡散する空間に連通された複数の第1の開口と、第2の流体が拡散する空間に連通された複数の第2の開口と、がシャワープレートに個別に設けられることがある。
特開平08−316153号公報
流体の吐出位置を変更可能とする構造は、流体の均一な吐出を妨げることがある。
一つの実施形態に係るシャワープレートは、第1の部材と、第2の部材と、を備える。前記第1の部材は、複数の第1の開口が設けられた第1の壁を有し、前記複数の第1の開口が連通する部屋が内部に設けられる。前記第2の部材は、第2の開口が設けられるとともに前記部屋に配置された第2の壁を有し、前記第1の部材から離間した位置に配置され、前記第1の部材に対する位置が変化することで前記第2の開口と向かい合う前記第1の開口を他の前記第1の開口と入れ替えることが可能である。
図1は、第1の実施形態に係る半導体製造装置を概略的に示す断面図である。 図2は、第1の実施形態のシャワープレートを示す断面図である。 図3は、第1の実施形態のシャワープレートを示す底面図である。 図4は、第1の実施形態の第1の移動壁を示す底面図である。 図5は、第1の実施形態の第2の部材が回転するシャワープレートを示す底面図である。 図6は、第1の実施形態の第2の部材が回転した後のシャワープレートを示す底面図である。 図7は、第1の実施形態の変形例に係るシャワープレートを示す底面図である。 図8は、第2の実施形態に係るシャワープレートを示す底面図である。 図9は、第2の実施形態の第1の移動壁を示す底面図である。 図10は、第3の実施形態に係るシャワープレートを示す断面図である。 図11は、第4の実施形態に係るシャワープレートを示す断面図である。 図12は、第4の実施形態のシャワープレートを示す底面図である。 図13は、第4の実施形態の変形例に係るシャワープレートを示す断面図である。
(第1の実施形態)
以下に、第1の実施形態について、図1乃至図6を参照して説明する。なお、本明細書においては基本的に、鉛直上方を上方向、鉛直下方を下方向と定義する。また、本明細書において、実施形態に係る構成要素及び当該要素の説明について、複数の表現が記載されることがある。複数の表現がされた構成要素及び説明は、記載されていない他の表現がされても良い。さらに、複数の表現がされない構成要素及び説明も、記載されていない他の表現がされても良い。
図1は、第1の実施形態に係る半導体製造装置10を概略的に示す断面図である。半導体製造装置10は、処理装置の一例であり、例えば、製造装置、加工装置、吐出装置、供給装置、又は装置とも称され得る。なお、処理装置は半導体製造装置10に限らず、対象となる物体に、例えば加工、洗浄、及び試験のような処理を行う他の装置であっても良い。
各図面に示されるように、本明細書において、X軸、Y軸及びZ軸が定義される。X軸とY軸とZ軸とは、互いに直交する。X軸は、半導体製造装置10の幅に沿う。Y軸は、半導体製造装置10の奥行き(長さ)に沿う。Z軸は、半導体製造装置10の高さに沿う。本実施形態において、Z軸は鉛直方向に延びる。なお、Z軸が延びる方向と、鉛直方向とが異なっても良い。
図1に示される第1の実施形態の半導体製造装置10は、例えば、化学蒸着(CVD)装置である。半導体製造装置10は、他の装置であっても良い。半導体製造装置10は、製造部11と、ステージ12と、シャワープレート13と、第1のガス供給装置14と、第2のガス供給装置15と、制御部16とを有する。
製造部11は、例えば、筐体とも称され得る。ステージ12は、配置部の一例であり、例えば、載置部又は台とも称され得る。シャワープレート13は、例えば、流路構造、吐出装置、供給装置、噴出装置、分配装置、排出装置、部材、又は部品とも称され得る。第1及び第2のガス供給装置14,15は、供給部の一例である。
製造部11の内部に、気密に密閉可能なチャンバ21が設けられる。チャンバ21は、例えば、部屋又は空間とも称され得る。半導体製造装置10は、例えば、チャンバ21において、半導体ウェハ(以下、ウェハと称する)Wを製造する。ウェハWは、対象物の一例である。製造部11は、上壁23と、側壁24とを有する。
上壁23は、内面23aを有する。内面23aは、下方向に向く略平坦な面である。側壁24は、内側面24aを有する。内側面24aは、略水平方向に向く面である。内面23a及び内側面24aは、チャンバ21の一部を形成する。すなわち、内面23a及び内側面24aは、チャンバ21の内部に向く。側壁24に、複数の排気口27が設けられる。排気口27から、チャンバ21の気体が吸引され得る。
ステージ12及びシャワープレート13は、チャンバ21に配置される。なお、図1に示されるように、ステージ12の一部及びシャワープレート13の一部が、チャンバ21の外に位置しても良い。
ステージ12は、支持部12aを有する。支持部12aは、チャンバ21に位置し、上壁23の内面23aに向いてウェハWを支持する。言い換えると、ステージ12にウェハWが配置される。ステージ12はヒータを有し、支持部12aに支持されたウェハWを加熱することが可能である。
ステージ12は、例えば、ウェハWを吸引することにより、当該ウェハWを支持部12aに固定できる。さらに、ステージ12は、モータのような駆動装置に接続され、ウェハWを支持した状態で回転可能である。
シャワープレート13は、例えば、製造部11の上壁23に取り付けられる。シャワープレート13は、ステージ12の支持部12aに支持されたウェハWに面する。シャワープレート13は、図1の矢印で示すように、ウェハWへ第1のガスG1と第2のガスG2とを吐出可能である。
第1のガスG1は、流体及び第1の流体の一例である。第2のガスG2は、流体及び第2の流体の一例である。なお、流体は気体に限らず、液体のような他の流体であっても良い。
第1のガスG1は、例えば、ウェハWに酸化膜を形成する。第2のガスG2は、例えば、ウェハWに窒化膜を形成する。なお、第1のガスG1と第2のガスG2とは、この例に限らない。また、第1のガスG1と第2のガスG2とが同一の組成を有する流体であっても良い。
図2は、第1の実施形態のシャワープレート13を示す断面図である。図3は、第1の実施形態のシャワープレート13を示す底面図である。図2に示すように、シャワープレート13は、第1の部材31と、第2の部材32とを有する。第1の部材31及び第2の部材32はそれぞれ、例えば、第1及び第2のガスG1,G2に耐性を有する材料によって作られる。
第1の部材31は、拡散部41と、管部42とを有する。拡散部41は、X‐Y平面上で広がる略円盤状に形成される。管部42は、拡散部41の略中央部からZ軸に沿う正方向(Z軸の矢印が向く方向、上方向)に延びる。
図1に示すように、管部42は、上壁23を貫通する。例えば、管部42が上壁23に固定されることで、シャワープレート13が製造部11の上壁23に取り付けられる。なお、シャワープレート13は、他の手段により製造部11に取り付けられても良い。
図2に示すように、拡散部41は、底壁44と、周壁45と、覆壁46とを有する。底壁44は、第1の壁の一例である。さらに、拡散部41の内部に、拡散室47が設けられる。拡散室47は、部屋の一例であり、例えば、空間又は収容部とも称され得る。拡散室47は、底壁44と、周壁45と、覆壁46とによって囲まれる。
底壁44は、X‐Y平面上で広がる略円盤状に形成される。底壁44は、底面44aと、第1の内面44bとを有する。底面44aは、例えば、外面又は表面とも称され得る。第1の内面44bは、第1の面の一例である。
底面44aは、Z軸に沿う負方向(Z軸の矢印が向く方向の反対方向、下方向)に向く略平坦な面であり、シャワープレート13のZ軸に沿う負方向の端に位置する。言い換えると、底面44aは、シャワープレート13の外面の一部を形成する。なお、底面44aは、曲面であっても良いし、凹凸を有しても良い。
図1に示すように、底面44aは、隙間を介して、ステージ12の支持部12aに支持されたウェハWに面する。言い換えると、ステージ12は、底面44aが向く位置にウェハWを支持する。
図2に示すように、第1の内面44bは、底面44aの反対側に位置し、Z軸に沿う正方向に向く略平坦な面である。なお、第1の内面44bは、曲面であっても良いし、凹凸を有しても良い。第1の内面44bは、拡散室47に面し、拡散室47の内面の一部を形成する。
周壁45は、底壁44の縁から、Z軸に沿う正方向に延びる略円筒形の壁である。周壁45は、第2の内面45aを有する。第2の内面45aは、部屋の内面の一例である。第2の内面45aは、拡散室47に面し、拡散室47の内面の一部を形成する。
覆壁46は、X‐Y平面上で広がる略円盤状に形成される。覆壁46の縁は、周壁45により底壁44の縁に接続される。覆壁46は、上面46aと、第3の内面46bとを有する。第3の内面46bは、第2の面の一例である。
上面46aは、Z軸に沿う正方向に向く略平坦な面である。上面46aは、シャワープレート13の外面の一部を形成する。管部42は、上面46aからZ軸に沿う正方向に延びる。
第3の内面46bは、上面46aの反対側に位置し、Z軸に沿う負方向に向く略平坦な面である。第3の内面46bは、第1の内面44bに向く。なお、第3の内面46bは、曲面であっても良いし、凹凸を有しても良い。第3の内面46bは、拡散室47に面し、拡散室47の内面の一部を形成する。
管部42の内部に、供給口42aが設けられる。供給口42aは、Z軸に沿う方向に延び、第3の内面46bに開口し、拡散室47に連通する。供給口42aは、例えば配管を介して、図1の第1及び第2のガス供給装置14,15に連通する。すなわち、第1及び第2のガス供給装置14,15は、上記配管及び供給口42aを介して、拡散室47に接続される。
底壁44に、複数の第1の開口48が設けられる。第1の開口48は、例えば、孔、貫通口、及び吐出口とも称され得る。複数の第1の開口48はそれぞれ、底面44aと第1の内面44bとに連通する。言い換えると、第1の開口48は、拡散室47と、シャワープレート13の外部とに連通する。
本実施形態において、複数の第1の開口48は、互いに略同一の形状を有する。なお、複数の第1の開口48が、互いに異なる形状を有する複数の第1の開口48を含んでも良い。
複数の第1の開口48はそれぞれ、直部48aと、縮径部48bとを有する。縮径部48bは、テーパ部、拡径部、受け部、又はガイド部とも称され得る。第1の開口48は、直部48a及び縮径部48bのいずれか一方のみを有しても良い。
直部48aは、底壁44の底面44aに連通する略円形の孔である。直部48aは、Z軸に沿う方向に略直線状に延びる。縮径部48bは、底壁44の第1の内面44bに連通する略円錐台形の穴である。なお、縮径部48bは他の形状に形成されても良い。縮径部48bは、第1の内面44bから底面44aに向かう方向に先細る。すなわち、縮径部48bの断面積が最大となる部分は、第1の内面44bに開口する。一方、縮径部48bの断面積が最小となる部分は、直部48aに接続される。
第2の部材32は、第1の移動壁51と、第1の支持部52とを有する。第1の移動壁51は、第2の壁の一例である。第1の支持部52は、支持部の一例である。第2の部材32は、第1の部材31から離間した位置に配置される。第2の部材32は、少なくとも第1の部材31の内部において、第1の部材31から離間する。
第1の移動壁51は、X‐Y平面上で広がる略円盤状に形成される。第1の移動壁51と、略円盤状の底壁44及び覆壁46と、略円筒形の周壁45とは、共通の中心軸Axを有するように配置される。中心軸Axは、Z軸に沿う方向に延びる。なお、第1の移動壁51と、底壁44及び覆壁46と、周壁45とのそれぞれの中心軸が異なっても良い。
第1の移動壁51は、第1の部材31から離間した位置で拡散室47に配置される。すなわち、第1の移動壁51は、拡散室47よりも小さく、第1の部材31の内部に収容される。第1の移動壁51は、下面51aと、上面51bと、側面51cとを有する。
下面51aは、Z軸に沿う負方向に向く略平坦な面である。下面51aは、隙間を介して底壁44の第1の内面44bに向く。言い換えると、底壁44の第1の内面44bは、隙間を介して第1の移動壁51の下面51aに向く。第1の内面44bと下面51aとの間の距離は、略均一に設定される。
上面51bは、Z軸に沿う正方向に向く略平坦な面である。上面51bと下面51aとは、略平行に形成される。なお、上面51bが下面51aに対して傾いても良い。上面51bは、覆壁46の第3の内面46bから離間した位置で、第3の内面46bに向く。
側面51cは、略水平方向に向く面であり、下面51aの縁と上面51bの縁とを接続する。側面51cは、隙間を介して周壁45の第2の内面45aに向く。上述のように、周壁45と第1の移動壁51とは、共通の中心軸Axを有する。このため、側面51cと第2の内面45aとの間の距離は、略均一に設定される。
底壁44の第1の内面44bと第1の移動壁51の下面51aとの間の距離は、覆壁46の第3の内面46bと第1の移動壁51の上面51bとの間の距離よりも短い。このため、第3の内面46bと上面51bとの間に、第1の内面44bと下面51aとの間の隙間よりも広い拡散空間47aが設けられる。拡散空間47aは、拡散室47の一部であり、側面51cと第2の内面45aとの間の隙間、及び下面51aと第1の内面44bとの間の隙間につながっている。
第1の支持部52は、中心軸Axに沿って、第1の移動壁51の略中央部からZ軸に沿う正方向に延びる円柱状に形成される。言い換えると、第1の支持部52は、第1の移動壁51の上面51bに接続される。第1の支持部52は、管部42の供給口42aに通され、管部42の上端から第1の部材31の外に突出する。
第1の支持部52は、管部42から離間した位置に配置される。すなわち、第1の支持部52と供給口42aの内面との間に隙間が形成される。第1の支持部52と供給口42aの内面との間の距離は、略一定であり、第1の内面44bと下面51aとの間の距離よりも長い。
第1の支持部52は、第1の部材31の外で第1の駆動装置55に接続される。第1の駆動装置55は、駆動部の一例である。第1の駆動装置55は、例えば、モータ又はアクチュエータのような動力発生源と、当該動力発生源が発生させた動力を第1の支持部52に伝達する伝達機構と、を有する。
例えば、第1の駆動装置55の上記伝達機構が、第1の部材31の外で第1の支持部52を支持する。第1の支持部52が第1の駆動装置55に支持されることで、第2の部材32は、第1の部材31から離間した位置に配置される。言い換えると、第2の部材32は、第1の部材31から離間した状態で第1の駆動装置55によって吊り下げられる。
第1の移動壁51に、複数の第2の開口58が設けられる。第2の開口58は、例えば、孔、貫通口、接続口、及び連通口とも称され得る。複数の第2の開口58はそれぞれ、Z軸に沿う方向に延び、下面51aと上面51bとに連通する略円形の孔である。言い換えると、第2の開口58は、第1の内面44bと下面51aとの間の隙間と、拡散空間47aと、に連通する。
第2の開口58の直径は、第1の開口48の直部48aの直径と実質的に等しい。さらに、第2の開口58の直径は、縮径部48bの断面積が最小となる部分の直径と実質的に等しく、縮径部48bの断面積が最大となる部分の直径よりも小さい。すなわち、縮径部48bの最大の断面積は、下面51aに開口する第2の開口58の断面積よりも大きい。言い換えると、縮径部48bの最大の断面積は、第2の開口58の底壁44に向く端部(Z軸に沿う負方向の端部)の断面積よりも大きい。なお、第1及び第2の開口48,58の大きさはこの例に限らない。
図4は、第1の実施形態の第1の移動壁51を示す底面図である。図3及び図4に示すように、本実施形態において、第2の開口58の数は、第1の開口48の数の半分である。なお、第2の開口58の数はこの例に限らない。
図5は、第1の実施形態の第2の部材32が回転するシャワープレート13を示す底面図である。図5に示すように、第2の部材32は、例えば図2の第1の駆動装置55により第1の部材31に対して中心軸Axまわりに回転させられる。言い換えると、第1の駆動装置55は、第1の部材31に対して第2の部材32を動かすことが可能である。第1の駆動装置55は、第2の部材32が第1の部材31から離間した状態を保ちながら、第1の部材31に対して第2の部材32を回転させる。
図3に示すように、複数の第1の開口48は、複数の第1の吐出口61と、複数の第2の吐出口62とを含む。第1の吐出口61と第2の吐出口62とは、略同一の形状を有し、説明の便宜上、個別に称される。なお、第1の吐出口61と第2の吐出口62とが互いに異なる形状を有しても良い。
第1の吐出口61の数は、第2の開口58の数と等しい。さらに、第2の吐出口62の数は、第2の開口58の数と等しい。複数の第1の吐出口61は、中心軸Axまわりに二回対称(回転対称、点対称)に配置される。複数の第2の吐出口62及び複数の第2の開口58もそれぞれ、中心軸Axまわりに二回対称に配置される。複数の第1の吐出口61は、中心軸Axまわりに90°回転させられると、複数の第2の吐出口62に重なるよう配置される。なお、複数の第2の開口58、複数の第1の吐出口61、及び複数の第2の吐出口62の配置はこの例に限らない。例えば、複数の第2の開口58、複数の第1の吐出口61、及び複数の第2の吐出口62はそれぞれ、中心軸Axまわりに三回対称又はそれ以上の回転対称に配置されても良い。さらに、複数の第2の開口58、複数の第1の吐出口61、及び複数の第2の吐出口62はそれぞれ、回転対象と異なる位置に配置されても良い。
図6は、第1の実施形態の第2の部材32が回転した後のシャワープレート13を示す底面図である。第2の部材32は、第1の駆動装置55に回転させられることで、第1の部材31に対し図3に示す第1の位置P1と、図6に示す第2の位置P2と、に移動可能である。
図3に示すように、第1の位置P1において、複数の第1の吐出口61と、複数の第2の開口58とが向かい合う。すなわち、第1の内面44bに設けられた第1の吐出口61の開口端が、下面51aに設けられた第2の開口58の開口端と向かい合う。言い換えると、第1の位置P1において、第2の開口58が第1の吐出口61に重なる。一方、第1の位置P1において、複数の第2の吐出口62は、第1の移動壁51によって覆われる。図3は、第1の移動壁51によって覆われた第2の吐出口62にハッチングを施す。
図6に示すように、第2の位置P2において、複数の第2の吐出口62と、複数の第2の開口58とが向かい合う。すなわち、第1の内面44bに設けられた第2の吐出口62の開口端が、下面51aに設けられた第2の開口58の開口端と向かい合う。言い換えると、第2の位置P2において、第2の開口58が第2の吐出口62に重なる。一方、第2の位置P2において、複数の第1の吐出口61は、第1の移動壁51によって覆われる。図6は、第1の移動壁51によって覆われた第1の吐出口61にハッチングを施す。
上記のように、第1の位置P1又は第2の位置P2において、複数の第2の開口58は、複数の第1の吐出口61又は複数の第2の吐出口62と向かい合う。第2の開口58と向かい合う第1の吐出口61又は第2の吐出口62は、図3及び図6に示すように、底壁44の底面44aを平面視したとき、拡散空間47aを露出させる。
例えば図2に示すように、第1の移動壁51に覆われた第1の吐出口61及び第2の吐出口62は、第1の内面44bと下面51aとの間の隙間に連通する。このため、第1の移動壁51に覆われた第1の吐出口61及び第2の吐出口62は、第1の内面44bと下面51aとの間の隙間と、第2の内面45aと側面51cとの間の隙間と、を介して拡散空間47aに連通する。
複数の第2の開口58の断面積の合計は、Z軸と直交する方向(X‐Y平面)における第2の部材32と第2の内面45aとの間の隙間の断面積よりも大きい。Z軸と直交する方向は、第2の開口が延びる方向と直交する方向の一例である。
第1の内面44bと下面51aとの間の距離は、第2の開口58の直径よりも小さい。また、第1の内面44bと下面51aとの間の距離は、第1の開口48の直部48aの直径よりも小さい。
図1に示す第1のガス供給装置14は、シャワープレート13の供給口42aに接続され、供給口42aから拡散室47の拡散空間47aに、第1のガスG1を供給する。第1のガス供給装置14は、タンク14aとバルブ14bとを有する。バルブ14bは、調整部の一例である。調整部は、ポンプのような他の装置であっても良い。
タンク14aは、第1のガスG1を収容し、バルブ14b及び配管を介して供給口42aに接続される。バルブ14bが開かれることで、第1のガス供給装置14は、タンク14aの第1のガスG1を供給口42aに供給する。バルブ14bが閉じられると、第1のガス供給装置14は、第1のガスG1の供給を停止する。さらに、バルブ14bの開閉量が調整されることで、第1のガスG1の流量が調整される。このように、バルブ14bは、第1のガスG1の供給状態を調整可能である。
第2のガス供給装置15は、シャワープレート13の供給口42aに接続され、供給口42aから拡散室47の拡散空間47aに、第2のガスG2を供給する。第2のガス供給装置15は、タンク15aとバルブ15bとを有する。バルブ15bは、調整部の一例である。
タンク15aは、第2のガスG2を収容し、バルブ15b及び配管を介して供給口42aに接続される。バルブ15bが開かれることで、第2のガス供給装置15は、タンク15aの第2のガスG2を供給口42aに供給する。バルブ15bが閉じられると、第2のガス供給装置15は、第2のガスG2の供給を停止する。さらに、バルブ15bの開閉量が調整されることで、第2のガスG2の流量が調整される。このように、バルブ15bは、第2のガスG2の供給状態を調整可能である。
半導体製造装置10は、第1のガス供給装置14及び第2のガス供給装置15に加えて、キャリアガス供給装置を有しても良い。キャリアガス供給装置は、アルゴンのようなキャリアガスが収容されたタンクと、当該タンクと供給口42aとを接続する配管及びバルブとを有する。当該バルブが開かれると、タンクに収容されたキャリアガスが、供給口42aを通じて拡散室47の拡散空間47aに供給される。キャリアガスは、例えば、第1のガスG1又は第2のガスG2を拡散室47に運ぶために供給され、ウェハWへの影響が小さいガスである。キャリアガス供給装置は、例えば、第1のガス供給装置14及び第2のガス供給装置15から独立して設けられても良いし、第1のガス供給装置14及び第2のガス供給装置15のそれぞれの一部として設けられても良い。
制御部16は、例えば、CPUのような処理装置と、ROMやRAMのような記憶装置と、を有する。制御部16は、例えば、ステージ12、第1のガス供給装置14、第2のガス供給装置15、及び第1の駆動装置55を制御する。
半導体製造装置10は、以下に説明するように、チャンバ21のウェハWに第1のガスG1及び第2のガスG2を供給する。まず、制御部16は、図2の第1の駆動装置55を駆動させ、第2の部材32を第1の部材31に対して回転させることにより、第2の部材32を第1の位置P1に配置する。これにより、複数の第2の開口58は、複数の第1の吐出口61と向かい合う。
第1の駆動装置55は、例えば、ロータリーエンコーダのような回転角センサを有する。制御部16は、当該回転角センサから得られる第2の部材32の回転角に基づき、第2の部材32を第1の位置P1に配置させることができる。なお、制御部16は、他の手段によって第2の部材32を第1の位置P1に配置しても良い。
次に、制御部16は、第1のガス供給装置14のバルブ14bを開かせ、シャワープレート13に第1のガスG1を供給させる。第1のガスG1は、供給口42aを通って、拡散室47の拡散空間47aに供給される。すなわち、第1のガス供給装置14は、複数の第2の開口58が複数の第1の吐出口61と向かい合うときに第1のガスG1を拡散室47に供給する。第1の吐出口61は、一つの第1の開口の一例である。
第1のガスG1は、拡散空間47aで、例えばX‐Y平面に沿う方向に拡散する。第1のガスG1は、拡散空間47aに連通する複数の第2の開口58を通り、当該第2の開口58と向かい合う第1の吐出口61から、ウェハWに向かって吐出される。これにより、第1のガスG1は、ウェハWの表面に膜を形成する。
ウェハWの表面に膜が形成されると、制御部16は、第1のガス供給装置14のバルブ14bを閉じさせる。これにより、第1のガスG1の供給が停止される。シャワープレート13に残った第1のガスG1は、例えば、拡散室47に供給されるキャリアガスによって排出されても良い。
次に、制御部16が第1の駆動装置55を駆動させることで、第1の駆動装置55は、第2の部材32の第1の支持部52を回転させる。第1の駆動装置55は、第2の部材32を第1の部材31に対して回転させることにより、第2の部材32を第2の位置P2に配置する。これにより、複数の第2の開口58は、複数の第2の吐出口62と向かい合う。
上記のように、第1の駆動装置55は、第2の部材32の第1の支持部52を第1の部材31に対して回転させることで、第1の支持部52に接続された第1の移動壁51を第1の部材31に対して回転させる。第1の移動壁51が第1の部材31に対して回転することで、第2の開口58と向かい合う第1の開口48(第1の吐出口61)が、他の第1の開口48(第2の吐出口62)と入れ替えられる。言い換えると、第1の部材31に対する第1の移動壁51の位置が変化することで、第2の開口58と向かい合う第1の開口48が、他の第1の開口48と入れ替えられる。
次に、制御部16は、第2のガス供給装置15のバルブ15bを開かせ、シャワープレート13に第2のガスG2を供給させる。第2のガスG2は、供給口42aを通って、拡散室47の拡散空間47aに供給される。すなわち、第2のガス供給装置15は、複数の第2の開口58が複数の第2の吐出口62と向かい合うときに第2のガスG2を拡散室47に供給する。第2の吐出口62は、他の一つの第1の開口の一例である。すなわち、第1及び第2のガス供給装置14,15は、第2の開口58と向かい合う第1の開口48に応じて異なるガス(第1のガスG1又は第2のガスG2)を拡散室47に供給する。
第2のガスG2は、拡散空間47aで、例えばX‐Y平面に沿う方向に拡散する。第2のガスG2は、拡散空間47aに連通する複数の第2の開口58を通り、当該第2の開口58と向かい合う第2の吐出口62から、ウェハWに向かって吐出される。これにより、第2のガスG2は、ウェハWの表面に膜を形成する。
上述のように、第1のガスG1が複数の第1の吐出口61から吐出され、第2のガスG2が複数の第2の吐出口62から吐出される。これにより、第1のガスG1及び第2のガスG2は、それぞれに適した位置から吐出されることができる。以上により、ウェハWに、例えば、酸化膜と窒化膜とが成膜される。
第2の開口58を通過した第1のガスG1及び第2のガスG2は、当該第2の開口58から第1の開口48に向かって吐出される。第1の開口48の縮径部48bは、第1の移動壁51に向かって底壁44に開き、第2の開口58に面する。縮径部48bは、第1の移動壁51から遠ざかる方向に先細る。このため、第2の開口58から吐出された第1のガスG1及び第2のガスG2は、縮径部48bにガイドされ、第1の開口48の直部48aに流入する。第1のガスG1及び第2のガスG2は、直部48aからシャワープレート13の外に吐出される。
拡散空間47aに供給された第1のガスG1及び第2のガスG2は、第2の開口58のみならず、第2の内面45aと側面51cとの間の隙間にも流入することがある。当該第1のガスG1及び第2のガスG2は、第1の移動壁51に覆われた第1の吐出口61又は第2の吐出口62から、シャワープレート13の外に吐出されることがある。しかし、第2の内面45aと側面51cとの間の隙間に流入する第1のガスG1及び第2のガスG2の流量は、第2の開口58を通る第1のガスG1及び第2のガスG2の流量よりも少ない。このため、第1の移動壁51に覆われた第1の吐出口61又は第2の吐出口62から吐出される第1のガスG1又は第2のガスG2は、ウェハWの膜の形成に影響し難い。例えば、第2の開口58と向かい合う第1の開口48(第1の吐出口61)が吐出する第1のガスG1の流量は、第1の移動壁51に覆われた他の第1の開口48(第2の吐出口62)が吐出する第1のガスG1の流量よりも多い。
図5に示すように、第2の部材32が第1の位置P1又は第2の位置P2から僅かに回転した状態で、第1のガスG1又は第2のガスG2が拡散室47に供給されても良い。例えば図5に示す場合、第1の吐出口61の一部が第1の移動壁51に覆われる。一方、第2の吐出口62は、第1の位置P1と同じく、第1の移動壁51に覆われる。
第1の吐出口61の一部が第1の移動壁51に覆われることで、第2の部材32が第1の位置P1に配置された場合に比べ、シャワープレート13の流路(向かい合った第1の吐出口61と第2の開口58)が狭まる。これにより、第1のガスG1の吐出量が低減される。
第2の部材32が第1の部材31に対して動かされることで、第1の移動壁51が第1の開口48の一部を覆う量が変わる。すなわち、第2の部材32が第1の部材31に対して動かされることで、第1の開口48から吐出される第1のガスG1及び第2のガスG2の流量が調整される。
シャワープレート13は、例えば、三次元プリンタによる積層造形によって製造される。これにより、第2の部材32は、第1の部材31の内部に収容された状態で製造される。なお、シャワープレート13の製造方法はこの例に限らない。
以上説明された第1の実施形態に係る半導体製造装置10において、第1の部材31に拡散室47が設けられ、第2の部材32の第1の移動壁51が第1の部材31から離間した位置で拡散室47に配置される。第2の部材32は、第1の部材31に対する位置が変化することで第2の開口58と向かい合う第1の開口48(第1の吐出口61)を他の第1の開口48(第2の吐出口62)と入れ替えることが可能である。これにより、シャワープレート13は、共通の拡散室47に供給された第1のガスG1及び第2のガスG2を複数の位置から吐出することができ、当該拡散室47を大きく確保することができる。従って、拡散室47における第1のガスG1及び第2のガスG2の圧損が低減され、複数の第1の開口48が設けられる場合、複数の第1の開口48から第1のガスG1及び第2のガスG2がより均等に吐出される。すなわち、第1のガスG1及び第2のガスG2の吐出位置が変更可能なシャワープレート13において、第1のガスG1及び第2のガスG2がより均一に吐出され得る。さらに、第2の開口58と向かい合う第1の開口48を他の第1の開口48と入れ替えるときに、第1の部材31と第2の部材32との接触によりパーティクルが発生することが抑制される。従って、パーティクルが拡散室47や第1及び第2の開口48,58に入って第1のガスG1及び第2のガスG2の均一な吐出を妨げることが抑制される。
複数の第1の開口48はそれぞれ、第1の内面44bに連通するとともに第1の移動壁51から遠ざかる方向に先細る縮径部48bを有する。当該縮径部48bの最大の断面積は、下面51aに開口する第2の開口58の断面積よりも大きい。これにより、第2の開口58から第1の開口48に向かって吐出される第1のガスG1及び第2のガスG2が縮径部48bによってガイドされ、当該第1のガスG1及び第2のガスG2が底壁44と第1の移動壁51との間の隙間に流れ込むことが抑制される。
第1の内面44bと第2の部材32との間の距離は、第3の内面46bと第2の部材32との間の距離よりも短い。これにより、第3の内面46bと第2の部材32との間の拡散室47(拡散空間47a)で第1のガスG1及び第2のガスG2が拡散しやすくなる。さらに、第2の開口58から出た第1のガスG1及び第2のガスG2が第1の内面44bと第2の部材32との間の隙間で広がり、望まれぬ第1の開口48から第1のガスG1及び第2のガスG2が吐出されること、が抑制される。
第2の部材32は、第1の部材31に対して回転することで第2の開口58と向き合う第1の開口48を他の第1の開口48と入れ替えることが可能である。これにより、容易に第2の開口58と向き合う第1の開口48を他の第1の開口48と入れ替えることができる。
複数の第2の開口58の断面積の合計が、第2の開口58が延びる方向と直交する方向における第2の部材32と第2の内面45aとの間の隙間の断面積よりも大きい。これにより、拡散室47に供給された第1のガスG1及び第2のガスG2が、第2の部材32と第2の内面45aとの間の隙間を通って、第1の部材31と第2の部材32との間の隙間に広がり、望まれぬ第1の開口48から第1のガスG1及び第2のガスG2が吐出されること、が抑制される。
第2の部材32は、第1の部材31の外で第1の支持部52に支持されることで、第1の部材31から離間した位置に配置される。これにより、第1の支持部52と、当該第1の支持部52を支持する第1の駆動装置55と、の接触により発生したパーティクルが、拡散室47や第1及び第2の開口48,58に入ることが抑制される。
第1の駆動装置55は、第1の部材31の外で第1の支持部52に接続され、第1の支持部52を第1の部材31に対して動かすことで第2の開口58と向かい合う第1の開口48を他の第1の開口48と入れ替える。これにより、第1の駆動装置55が第1の支持部52を駆動することにより発生したパーティクルが、拡散室47や第1及び第2の開口48,58に入ることが抑制される。
第1及び第2のガス供給装置14,15は、第2の開口58が第1の吐出口61と向かい合うときに第1のガスG1を拡散室47に供給し、第2の開口58が第2の吐出口62と向かい合うときに第2のガスG2を拡散室47に供給する。これにより、半導体製造装置10は、第1のガスG1を吐出する第1の開口48の位置と、第2のガスG2を吐出する第1の開口48の位置と、を変えることができ、第1のガスG1及び第2のガスG2を適切な位置から吐出することができる。
図7は、第1の実施形態の変形例に係るシャワープレート13を示す底面図である。図3及び図7に示すように、複数の第1の開口48は、一点鎖線で示す複数の同心円上に配置される。例えば、一番内側の円から外側の円に移るに従って、これらの円上に配置される第1の開口48の数は、四個、十二個、二十個、二十八個、三十六個……と増大する。第1の開口48がこのように配置されることで、複数の第1の開口48をより均等に配置することができる。なお、第1の開口48の数及び配置はこれに限らない。
(第2の実施形態)
以下に、第2の実施形態について、図8及び図9を参照して説明する。なお、以下の複数の実施形態の説明において、既に説明された構成要素と同様の機能を持つ構成要素は、当該既述の構成要素と同じ符号が付され、さらに説明が省略される場合がある。また、同じ符号が付された複数の構成要素は、全ての機能及び性質が共通するとは限らず、各実施形態に応じた異なる機能及び性質を有していても良い。
図8は、第2の実施形態に係るシャワープレート13を示す底面図である。図9は、第2の実施形態の第1の移動壁51を示す底面図である。図8に示すように、第2の実施形態において、複数の第1の開口48は、複数の第1の吐出口61と、複数の第2の吐出口62と、複数の第3の吐出口63とを含む。第1乃至第3の吐出口61〜63は、略同一の形状を有し、説明の便宜上、個別に称される。なお、第1乃至第3の吐出口61〜63が互いに異なる形状を有しても良い。
第3の吐出口63の数は、第2の開口58の数と等しい。さらに、第3の吐出口63の数は、第1の吐出口61の数と等しく、且つ第2の吐出口62の数と等しい。複数の第3の吐出口63は、中心軸Axまわりに二回対称に配置される。なお、複数の第3の吐出口63の配置はこの例に限らない。例えば、複数の第3の吐出口63は、中心軸Axまわりに三回対称又はそれ以上の回転対称に配置されても良い。さらに、複数の第3の吐出口63は、回転対象と異なる位置に配置されても良い。
第2の実施形態において、複数の第1の吐出口61は、中心軸Axまわりに60°回転させられると、複数の第2の吐出口62に重なるよう配置される。また、複数の第1の吐出口61は、中心軸Axまわりに120°回転させられると、複数の第3の吐出口63に重なるよう配置される。
第2の部材32の第1の移動壁51は、第1の駆動装置55によって第1の部材31に対して回転させられることで、第1の位置P1と、第2の位置P2と、第3の位置P3とに移動可能である。図8は、第3の位置P3に配置された第2の部材32を示す。
第1の位置P1において、第1の吐出口61が第2の開口58と向かい合い、第2の吐出口62及び第3の吐出口63が第1の移動壁51によって覆われる。第2の位置P2において、第2の吐出口62が第2の開口58と向かい合い、第1の吐出口61及び第3の吐出口63が第1の移動壁51によって覆われる。第3の位置P3において、第3の吐出口63が第2の開口58と向かい合い、第1の吐出口61及び第2の吐出口62が第1の移動壁51によって覆われる。図8は、第1の移動壁51によって覆われた第1の吐出口61と第2の吐出口62とのそれぞれに、異なるハッチングを施す。
以上説明された第2の実施形態の半導体製造装置10において、第2の部材32は、第1の部材31に対して動くことで第2の開口58と向かい合う第1の開口48(第1の吐出口61)を、他の第1の開口48(第2の吐出口62)と入れ替えることが可能であるとともに、さらに他の第1の開口48(第3の吐出口63)と入れ替えることも可能である。これにより、シャワープレート13は、共通の拡散室47に供給された複数種類のガス(例えば、第1のガスG1、第2のガスG2、及び他のガス)を複数の位置から吐出することができ、当該拡散室47を大きく確保することができる。従って、拡散室47における第1のガスG1及び第2のガスG2の圧損が低減され、複数の第1の開口48が設けられる場合、複数の第1の開口48から複数種類のガスがより均等に吐出される。
(第3の実施形態)
以下に、第3の実施形態について、図10を参照して説明する。図10は、第3の実施形態に係るシャワープレート13を示す断面図である。図10に示すように、第3の実施形態のシャワープレート13は、第3の部材70を有する。
第3の部材70は、例えば、第1及び第2のガスG1,G2に耐性を有する材料によって作られる。第3の部材70は、第1の部材31及び第2の部材32から離間した位置に配置される。第3の部材70は、少なくとも第1の部材31の内部において、第1の部材31及び第2の部材32から離間する。第3の部材70は、第2の移動壁71と、第2の支持部72とを有する。第2の移動壁71は、第3の壁の一例である。
第2の移動壁71は、X‐Y平面上で広がる略円盤状に形成される。第2の移動壁71は、底壁44、覆壁46、周壁45、及び第1の移動壁51と、共通の中心軸Axを有する。なお、第2の移動壁71と、底壁44、覆壁46、周壁45、及び第1の移動壁51とのそれぞれの中心軸が異なっても良い。
第2の移動壁71は、第1の部材31及び第2の部材32から離間した位置で拡散室47に配置される。すなわち、第2の移動壁71は、拡散室47よりも小さく、第1の部材31の内部に収容される。第2の移動壁71は、下面71aと、上面71bと、側面71cとを有する。
下面71aは、Z軸に沿う負方向に向く略平坦な面である。下面71aは、隙間を介して第1の移動壁51の上面51bに向く。このため、第1の移動壁51は、Z軸に沿う方向において、底壁44と第2の移動壁71との間に位置する。
上面71bは、Z軸に沿う正方向に向く略平坦な面である。上面71bは、覆壁46の第3の内面46bから離間した位置で、第3の内面46bに向く。側面71cは、略水平方向に向く面であり、下面71aの縁と上面71bの縁とを接続する。第3の実施形態において、拡散空間47aは、第3の内面46bと上面71bとの間に設けられる。
側面71cは、隙間を介して周壁45の第2の内面45aに向く。側面71cと第2の内面45aとの間の距離は、第1の移動壁51の側面51cと第2の内面45aとの間の距離と実質的に等しく、略均一に設定される。
第2の支持部72は、中心軸Axに沿って、第2の移動壁71の略中央部からZ軸に沿う正方向に延びる円筒状に形成される。第2の支持部72は、管部42の供給口42aに通され、管部42の上端から第1の部材31の外に突出する。
第2の支持部72の内側に、挿通孔72aが設けられる。挿通孔72aは、第2の支持部72の上端と、第2の移動壁71の下面71aとに挿通する。第1の支持部52は、第3の部材70から離間する状態で挿通孔72aに通される。
第2の支持部72は、管部42から離間した位置に配置される。第2の支持部72と供給口42aの内面との間の距離は、第1の内面44bと下面51aとの間の距離よりも長い。
第2の支持部72は、第1の部材31の外で第2の駆動装置75に接続される。第2の駆動装置75は、例えば、モータ又はアクチュエータのような動力発生源と、当該動力発生源が発生させた動力を第2の支持部72に伝達する伝達機構と、を有する。
例えば、第2の駆動装置75の上記伝達機構が、第1の部材31の外で第2の支持部72を支持する。第2の支持部72が第2の駆動装置75に支持されることで、第2の部材32は、第1の部材31及び第2の部材32から離間した位置に配置される。
第2の移動壁71に、複数の第3の開口78が設けられる。複数の第3の開口78はそれぞれ、Z軸に沿う方向に延び、下面71aと上面71bとに連通する略円形の孔である。言い換えると、第3の開口78は、下面71aと第1の移動壁51の上面51bの間の隙間と、拡散空間47aと、に連通する。
第3の開口78の直径は、第2の開口58の直径と実質的に等しい。第3の開口78の数は、第2の開口58の数と等しい。なお、第3の開口78の大きさ及び数はこの例に限らない。
第3の部材70は、例えば第2の駆動装置75により第1の部材31に対して中心軸Axまわりに回転させられる。第2の駆動装置75は、第3の部材70が第1の部材31及び第2の部材32から離間した状態を保ちながら、第1の部材31に対して第3の部材70を回転させる。
第3の部材70は、第2の部材32が第1の位置P1又は第2の位置P2に位置するとき、第3の開口78が第2の開口58と向かい合うように回転させられる。すなわち、第3の部材70は、第2の部材32に追従するように第2の駆動装置75によって回転させられる。
一方、第2の部材32が第1の位置P1又は第2の位置P2から僅かに回転した状態で、第1のガスG1又は第2のガスG2が拡散室47に供給される場合がある。例えば、第2の部材32が第1の位置P1から僅かに回転した位置に配置された場合、第3の開口78は、第3の部材70が第2の部材32に対して回転させられることで、第1の吐出口61と重なる位置に配置される。これにより、第1の吐出口61の一部と、第3の開口78の一部とが第1の移動壁51に覆われる。
第1の移動壁51が第1の吐出口61の一部を覆うことで、第1のガスG1の吐出量が低減される。さらに、第3の開口78が第1の吐出口61と重なる位置に配置されることで、第1のガスG1が吐出される方向がZ軸により近くなる。すなわち、第3の部材70が第2の部材32に対して動かされることで、第1のガスG1及び第2のガスG2が第1の開口48から吐出される方向が調整される。
第3の実施形態において、複数の第2の開口58は、直部58aと、縮径部58bとを有する。直部58aは、第1の移動壁51の下面51aに連通する略円形の孔である。直部58aは、Z軸に沿う方向に略直線状に延びる。縮径部58bは、第1の移動壁51の上面51bに連通する略円錐台形の穴である。なお、縮径部58bは他の形状に形成されても良い。縮径部58bは、上面51bから下面51aに向かう方向に先細る。すなわち、縮径部58bの断面積が最大となる部分は、上面51bに開口する。一方、縮径部58bの断面積が最小となる部分は、直部58aに接続される。
第3の開口78を通過した第1のガスG1及び第2のガスG2は、当該第3の開口78から第2の開口58に向かって吐出される。第2の開口58の縮径部58bが、第3の開口78に面する。縮径部58bは、第2の移動壁71から遠ざかる方向に先細る。このため、第3の開口78から吐出された第1のガスG1及び第2のガスG2は、縮径部58bにガイドされ、第2の開口58の直部58aに流入する。第1のガスG1及び第2のガスG2は、直部58aから第1の開口48を通じてシャワープレート13の外に吐出される。このように、第3の開口78から第2の開口58に向かって吐出される第1のガスG1及び第2のガスG2が縮径部58bによってガイドされるため、当該第1のガスG1及び第2のガスG2が第1の移動壁51と第2の移動壁71との間の隙間に流れ込むことが抑制される。
以上説明された第3の実施形態の半導体製造装置10において、第3の部材70は、第2の部材32に対して動くことで、第1の移動壁51が第1の開口48(第1の吐出口61)の一部を覆う場合に、当該第1の開口48と重なる位置に第3の開口78を配置することが可能である。これにより、第1の開口48から第1のガスG1及び第2のガスG2が吐出される方向が調整され得る。
(第4の実施形態)
以下に、第4の実施形態について、図11及び図12を参照して説明する。図11は、第4の実施形態に係るシャワープレート13を示す断面図である。図12は、第4の実施形態のシャワープレート13を示す底面図である。
第4の実施形態において、拡散部41は、X‐Y平面上で広がるとともにX軸に沿う方向に延びる略矩形の板状に形成される。また、第1の移動壁51は、X‐Y平面上で広がるとともにX軸に沿う方向に延びる略矩形の板状に形成される。拡散部41及び第1の移動壁51は、第1乃至第3の実施形態と同じく略円盤状に形成されても良い。
第2の部材32は、例えば第1の駆動装置55により、第1の部材31に対してX軸に沿う方向に平行移動させられる。言い換えると、第1の駆動装置55は、第1の部材31に対して第2の部材32を動かすことが可能である。第1の駆動装置55は、第2の部材32が第1の部材31から離間した状態を保ちながら、第1の部材31に対して第2の部材32を第1の位置P1と第2の位置P2とに平行移動させる。図11は、第1の位置P1にある第2の部材32を実線で示し、第2の位置P2にある第2の部材32を二点鎖線で示す。
第1の実施形態と同じく、第1の位置P1において、第1の吐出口61と第2の開口58とが向かい合い、複数の第2の吐出口62が第1の移動壁51によって覆われる。一方、第2の位置P2において、第2の吐出口62と第2の開口58とが向かい合い、第1の吐出口61が第1の移動壁51によって覆われる。図12は、第1の移動壁51によって覆われた第2の吐出口62にハッチングを施す。
第1の駆動装置55は、第2の部材32の第1の支持部52を第1の部材31に対して平行移動させることで、第1の支持部52に接続された第1の移動壁51を第1の部材31に対して平行移動させる。第1の移動壁51が第1の部材31に対して平行移動することで、第2の開口58と向かい合う第1の開口48(第1の吐出口61)が、他の第1の開口48(第2の吐出口62)と入れ替えられる。
第2の部材32が第1の位置P1又は第2の位置P2から僅かに移動した状態で、第1のガスG1又は第2のガスG2が拡散室47に供給されても良い。例えば第2の部材32が第1の位置P1から僅かに移動した場合、第1の吐出口61の一部が第1の移動壁51に覆われる。一方、第2の吐出口62は、第1の位置P1と同じく、第1の移動壁51に覆われる。
第4の実施形態において、第1の吐出口61の一部が第1の移動壁51に部分的に覆われる量は、複数の第1の吐出口61の間で等しい。このため、複数の第1の吐出口61から吐出される第1のガスG1及び第2のガスG2の流量及び傾斜角が一律に調整される。
図11に示すように、周壁45に二つの凹面45bが設けられる。凹面45bは、第2の内面45aから、X軸に沿う方向に凹んだ部分である。第2の部材32が第1の位置P1に位置するとき、第1の移動壁51の一部が一方の凹面45bによって規定される窪みに収容される。第2の部材32が第2の位置P2に位置するとき、第1の移動壁51の一部が他方の凹面45bによって規定される窪みに収容される。
複数の第2の開口58の断面積の合計は、凹面45bと第2の部材32との間の隙間の断面積よりも大きい。このため、拡散空間47aに供給された第1のガスG1及び第2のガスG2が、凹面45bと第2の部材32との間の隙間に流入することが抑制される。
以上説明された第4の実施形態の半導体製造装置10において、第2の部材32は、第1の部材31に対して平行移動することで第2の開口58と向き合う第1の開口48を他の第1の開口48と入れ替えることが可能である。これにより、複数の第2の開口58が設けられた場合、それぞれの第2の開口58と第1の開口48との相対的な位置が実質的に等しくなり、第1の開口48から吐出される第1のガスG1及び第2のガスG2の吐出量及び傾斜角がより均一になる。
図13は、第4の実施形態の変形例に係るシャワープレート13を示す断面図である。図13に示すように、第4の実施形態の半導体製造装置10は、第3の部材70と第2の駆動装置75とを有しても良い。
例えば、第3の部材70は、第2の部材32に対して平行移動することで、第1の移動壁51が第1の開口48(第1の吐出口61)の一部を覆う場合に、当該第1の開口48と重なる位置に第3の開口78を配置することが可能である。第3の開口78が第1の吐出口61と重なる位置に配置されることで、第1のガスG1が吐出される方向がZ軸により近くなる。さらに、第1の吐出口61の一部が第1の移動壁51に部分的に覆われる量は、複数の第1の吐出口61の間で等しい。このため、複数の第1の吐出口61から吐出される第1のガスG1及び第2のガスG2の流量及び傾斜角がより均一に調整され得る。
以上説明された少なくとも一つの実施形態によれば、第2の部材は、第2の開口が設けられるとともに第1の部材の内部の部屋に配置された第2の壁を有し、第1の部材から離間した位置に配置され、第1の部材に対する位置が変化することで第2の開口と向かい合う第1の開口を他の第1の開口と入れ替えることが可能である。これにより、複数の第1の開口から流体がより均等に吐出される。さらに、第2の開口と向かい合う第1の開口を他の第1の開口と入れ替えるときに、第1の部材と第2の部材との接触によりパーティクルが発生することが抑制される。
本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
例えば、各実施形態において、第1の駆動装置55は、第2の部材32を回転させる。しかし、第1の駆動装置55は、第1の部材31を回転させることで、第2の部材32を第1の部材31に対して動かしても良い。
10…半導体製造装置、12…ステージ、13…シャワープレート、14…第1のガス供給装置、14b…バルブ、15…第2のガス供給装置、15b…バルブ、31…第1の部材、32…第2の部材、42a…供給口、44…底壁、44b…第1の内面、45a…第2の内面、46b…第3の内面、47…拡散室、48…第1の開口、48b…縮径部、51…第1の移動壁、51a…下面、52…第1の支持部、55…第1の駆動装置、58…第2の開口、61…第1の吐出口、62…第2の吐出口、63…第3の吐出口、70…第3の部材、71…第2の移動壁、78…第3の開口。

Claims (11)

  1. 複数の第1の開口が設けられた第1の壁を有し、前記複数の第1の開口が連通する部屋が内部に設けられた、第1の部材と、
    第2の開口が設けられるとともに前記部屋に配置された第2の壁を有し、前記第1の部材から離間した位置に配置され、前記第1の部材に対する位置が変化することで前記第2の開口と向かい合う前記第1の開口を他の前記第1の開口と入れ替えることが可能な、第2の部材と、
    を具備するシャワープレート。
  2. 前記第2の部材は、前記第1の部材に対して回転することで前記第2の開口と向き合う前記第1の開口を他の前記第1の開口と入れ替えることが可能である、請求項1のシャワープレート。
  3. 前記第2の部材は、前記第1の部材に対して平行移動することで前記第2の開口と向き合う前記第1の開口を他の前記第1の開口と入れ替えることが可能である、請求項1のシャワープレート。
  4. 前記第1の壁は、前記第2の壁に向くとともに前記複数の第1の開口が連通する第1の面を有し、
    前記第1の部材は、前記第1の面に向く第2の面を有し、
    前記第1の面と前記第2の部材との間の距離は、前記第2の面と前記第2の部材との間の距離よりも短い、
    請求項1乃至請求項3のいずれか一つのシャワープレート。
  5. 前記第2の壁に、複数の前記第2の開口が設けられ、
    前記複数の第2の開口の断面積の合計が、前記第2の開口が延びる方向と直交する方向における前記第2の部材と前記部屋の内面との間の隙間の断面積よりも大きい、
    請求項1乃至請求項4のいずれか一つのシャワープレート。
  6. 前記複数の第1の開口はそれぞれ、前記第2の壁に向かって前記第1の壁に開くとともに前記第2の壁から遠ざかる方向に先細る縮径部を有し、
    前記縮径部の最大の断面積は、前記第2の開口の前記第1の壁に向く端部の断面積よりも大きい、
    請求項1乃至請求項5のいずれか一つのシャワープレート。
  7. 第3の開口が設けられるとともに前記部屋に配置された第3の壁を有し、前記第1の部材及び前記第2の部材から離間した位置に配置され、前記第2の部材に対して動くことで、前記第2の壁が前記第1の開口の一部を覆う場合に当該第1の開口と重なる位置に前記第3の開口を配置することが可能な、第3の部材、をさらに具備する請求項1乃至請求項6のいずれか一つのシャワープレート。
  8. 前記第1の部材に、前記部屋に連通する供給口が設けられ、
    前記第2の部材は、前記第2の壁に接続され、前記供給口に通されるとともに、前記第1の部材の外で支持される、支持部を有し、前記支持部が支持されることで前記第1の部材から離間した位置に配置される、
    請求項1乃至請求項7のいずれか一つのシャワープレート。
  9. 対象物が配置されるよう構成された配置部と、
    前記部屋に流体を供給され、前記配置部に配置された前記対象物へ前記流体を吐出するよう構成された、請求項1乃至請求項8のいずれか一つのシャワープレートと、
    前記部屋に供給される前記流体の供給状態を調整可能な調整部と、
    前記第2の部材を前記第1の部材に対して動かすことで前記第2の開口と向かい合う前記第1の開口を他の前記第1の開口と入れ替える駆動部と、
    を具備する処理装置。
  10. 前記調整部を有し、前記部屋に前記流体を供給する供給部、
    をさらに具備し、
    前記供給部は、前記第2の開口が一つの前記第1の開口と向かい合うときに第1の流体を前記部屋に供給し、前記第2の開口が他の一つの前記第1の開口と向かい合うときに第2の流体を前記部屋に供給する、
    請求項9の処理装置。
  11. 複数の第1の開口が設けられた第1の壁を有し、前記複数の第1の開口が連通する部屋が内部に設けられた、第1の部材に対し、第2の開口が設けられるとともに前記第1の部材から離間した位置で前記部屋に配置された第2の壁を、前記第1の部材に対して動かすことで前記第2の開口と向かい合う前記第1の開口を他の前記第1の開口と入れ替えることと、
    流体を前記部屋に供給することと、
    を具備する吐出方法。
JP2017044260A 2017-03-08 2017-03-08 シャワープレート、処理装置、及び吐出方法 Pending JP2018148143A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2017044260A JP2018148143A (ja) 2017-03-08 2017-03-08 シャワープレート、処理装置、及び吐出方法
TW107106280A TWI653091B (zh) 2017-03-08 2018-02-26 噴淋板、處理裝置及噴出方法
KR1020180023575A KR102125736B1 (ko) 2017-03-08 2018-02-27 샤워 플레이트, 처리 장치 및 토출 방법
US15/914,585 US20180258532A1 (en) 2017-03-08 2018-03-07 Shower plate, processing apparatus, and ejection method
CN201810186981.1A CN108570662B (zh) 2017-03-08 2018-03-07 喷淋板、处理装置和喷出方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017044260A JP2018148143A (ja) 2017-03-08 2017-03-08 シャワープレート、処理装置、及び吐出方法

Publications (1)

Publication Number Publication Date
JP2018148143A true JP2018148143A (ja) 2018-09-20

Family

ID=63446148

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017044260A Pending JP2018148143A (ja) 2017-03-08 2017-03-08 シャワープレート、処理装置、及び吐出方法

Country Status (5)

Country Link
US (1) US20180258532A1 (ja)
JP (1) JP2018148143A (ja)
KR (1) KR102125736B1 (ja)
CN (1) CN108570662B (ja)
TW (1) TWI653091B (ja)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111841955B (zh) * 2020-08-03 2021-06-04 阜阳三环电力器材有限公司 一种输电铁塔用钢结构喷涂设备
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD969980S1 (en) * 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) * 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP2022189180A (ja) * 2021-06-10 2022-12-22 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051489A (ja) * 2001-07-16 2003-02-21 Samsung Electronics Co Ltd ウェーハ処理装置のシャワーヘッド
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
JP2010059520A (ja) * 2008-09-05 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2015065434A (ja) * 2013-09-20 2015-04-09 ラム リサーチ コーポレーションLam Research Corporation デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
JP2929971B2 (ja) 1995-05-19 1999-08-03 日本電気株式会社 気相成長装置
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4115337B2 (ja) * 2003-05-30 2008-07-09 俊夫 後藤 プラズマ処理装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
JP2008311297A (ja) * 2007-06-12 2008-12-25 Mitsubishi Materials Corp プラズマ処理装置用電極板、その製造方法及びプラズマ処理装置
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
KR101505948B1 (ko) * 2013-12-16 2015-03-26 피에스케이 주식회사 배플 어셈블리 및 이를 가지는 기판 처리 장치
US9273393B2 (en) * 2014-01-25 2016-03-01 Yuri Glukhoy Torch system for depositing protective coatings on interior walls and recesses present on the flat surface of an object
JP6438320B2 (ja) * 2014-06-19 2018-12-12 東京エレクトロン株式会社 プラズマ処理装置
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003051489A (ja) * 2001-07-16 2003-02-21 Samsung Electronics Co Ltd ウェーハ処理装置のシャワーヘッド
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
JP2010059520A (ja) * 2008-09-05 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
JP2015065434A (ja) * 2013-09-20 2015-04-09 ラム リサーチ コーポレーションLam Research Corporation デュアルプラズマ源リアクタによるウエハ処理のためのイオン対中性種の制御

Also Published As

Publication number Publication date
CN108570662A (zh) 2018-09-25
KR102125736B1 (ko) 2020-06-23
CN108570662B (zh) 2020-11-17
KR20180102999A (ko) 2018-09-18
TWI653091B (zh) 2019-03-11
US20180258532A1 (en) 2018-09-13
TW201832830A (zh) 2018-09-16

Similar Documents

Publication Publication Date Title
JP2018148143A (ja) シャワープレート、処理装置、及び吐出方法
JP4564656B2 (ja) デュアルチャネル・ガス分配プレート
CN101748387B (zh) 成膜装置
JP6804990B2 (ja) より均一なエッジパージを有する基板支持体
US10550471B2 (en) Mixed gas multiple line supply system and substrate processing apparatus using same
JP6573559B2 (ja) 気化原料供給装置及びこれを用いた基板処理装置
TWI606137B (zh) 基板處理設備
KR20110015591A (ko) 증가된 유동 균일성을 갖는 슬릿 밸브
JP6320903B2 (ja) ノズル及びこれを用いた基板処理装置
KR101765754B1 (ko) 샤워헤드 및 이를 포함하는 반도체 기판 가공 장치
KR101598214B1 (ko) 디스크 형상의 기판의 열처리 장치
JP2016539507A (ja) ガス流パターンを制御するための処理チャンバ装置、システム、及び方法
JP2009516077A (ja) Ald反応容器
JP2018533213A (ja) 基板処理装置
JP4560394B2 (ja) 薄膜形成用分子供給装置
TW201541540A (zh) 基板處理設備
JP6776160B2 (ja) シャワープレート、処理装置、流路構造、及び分配方法
JP7325343B2 (ja) ガス供給構造及び基板処理装置
US10774420B2 (en) Flow passage structure and processing apparatus
JP2018093148A (ja) 給排気構造
WO2018012267A1 (ja) 流路構造及び処理装置
KR20150113603A (ko) 기판처리장치용 가스분배유닛
KR101421416B1 (ko) 박막증착장치
US20210054507A1 (en) Apparatus for treating substrate
KR20130074421A (ko) 가스배기장치 및 이를 구비하는 기판처리장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200707

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200708

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210112