JP2003051489A - ウェーハ処理装置のシャワーヘッド - Google Patents

ウェーハ処理装置のシャワーヘッド

Info

Publication number
JP2003051489A
JP2003051489A JP2002206765A JP2002206765A JP2003051489A JP 2003051489 A JP2003051489 A JP 2003051489A JP 2002206765 A JP2002206765 A JP 2002206765A JP 2002206765 A JP2002206765 A JP 2002206765A JP 2003051489 A JP2003051489 A JP 2003051489A
Authority
JP
Japan
Prior art keywords
baffle plate
shower head
spacer ring
plate
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002206765A
Other languages
English (en)
Other versions
JP4246450B2 (ja
Inventor
Jong-Chul Park
鐘撤 朴
Touken Kin
東賢 金
Goeki Ken
五益 権
Hye-Jin Jo
慧珍 趙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2003051489A publication Critical patent/JP2003051489A/ja
Application granted granted Critical
Publication of JP4246450B2 publication Critical patent/JP4246450B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

(57)【要約】 【課題】 反応チャンバ内のプロセス領域で反応ガスの
分布量を調節できるウェーハ処理装置のシャワーヘッド
を提供する。 【解決手段】 上部プレート10には反応ガスを反応チ
ャンバ内に流入させるためのガス流入口12が形成され
ている。フェースプレート20は、プロセス領域に対面
している。第1バッフルプレート30は上部プレート1
0とフェースプレート20との間に昇降自在に設けら
れ、反応ガスの第1横方向流動通路を形成する第1ギャ
ップ70を限定する上面を有し、複数の貫通孔が形成さ
れている。第2バッフルプレート40は第1バッフルプ
レート30とフェースプレート20との間に昇降自在に
設けられ、第1バッフルプレート30との間に反応ガス
の第2横方向流動通路を形成する第2ギャップ80を限
定する上面を有し、複数の貫通孔が形成されている。間
隔調節装置は第1ギャップ70及び第2ギャップ80の
幅を決定するために使われる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体素子の製造装
置に関し、特にプラズマを利用するウェーハ処理装置で
反応チャンバ内に反応ガスを供給するために設けられる
シャワーヘッドに関する。
【0002】
【従来の技術】半導体素子の高集積化に伴ってデザイン
ルールは次第に減少して微細化され、ウェーハは大径化
されつつある。このように大径化されたウェーハは半導
体素子製造に必要ないろいろな工程を経る。例えば、反
応チャンバの上部で蒸着またはエッチングのための反応
ガスを供給してウェーハ上に物質膜を蒸着する工程や、
ウェーハ上にある物質膜を所定パターンによってエッチ
ングする工程を経る。そのうち、特にエッチング工程の
場合にはウェーハが大径化されるにつれて、ウェーハ全
面にわたって均一度を最適化することが重要な課題であ
る。
【0003】通常のエッチング装置の場合には反応チャ
ンバ内の上部電極からガスが供給され、下部電極の周囲
から、ポンピングアウトが行われるダウンストリーム方
式でエッチングに必要な反応ガスが反応チャンバ内に流
入する。前記反応チャンバ内で反応ガスが均一に分布さ
れるように、前記反応チャンバの上部には複数の貫通孔
が形成されているバッフルをいくつか含むシャワーヘッ
ドが設けられている。従来の技術によるシャワーヘッド
では各バッフルに形成されている貫通孔の位置と各バッ
フルとの間隔が固定された状態に設けられている。
【0004】シャワーヘッドに設けられているバッフル
は、エッチング装置の上部電極、すなわちGDP(ga
s distribution plate)の設計時に
GDP内部にガス流れの分布を調節する機能を有する。
一般に、バッフルによるガス分布機能は、各バッフル間
の間隔と各バッフルに形成された貫通孔の開口率とによ
り決定される。しかし、従来の技術によるシャワーヘッ
ドでは各バッフルに形成されている貫通孔の位置と各バ
ッフルとの間隔が固定されているので、一つのエッチン
グ装置内で行わねばならない工程が変更される度に、ウ
ェーハ上での各位置によってエッチング率分布が変わ
る。したがって、従来の技術によるシャワーヘッドの構
成は、新しい工程開発時に一つの制限要素として作用
し、新しいエッチング装置を開発するにおいてもバッフ
ル製作のために多くのシミュレーション作業が必要なだ
けでなく、過度な開発費がかかる問題がある。
【0005】また、例えばウェーハ上にゲート電極を形
成するためのエッチング工程の場合、ゲートパターニン
グ前に行われるエッチングマスク層の形成のためのエッ
チング工程段階では、場合によってはウェーハ全面にわ
たって完全なエッチング均一度が得られることが望まし
いとは言えない。また、マルチステップよりなるエッチ
ング工程を行なう場合、各ステップごとにウェーハ上で
のエッチング率均一度が異なる。これらの場合におい
て、従来の技術のように各バッフルに形成されている貫
通孔の位置と各バッフルとの間隔が固定されている場合
には、シャワーヘッドを通したガスの供給量をウェーハ
上の位置によって任意に変更させることが不可能で、ウ
ェーハの全面にわたって形成しようとするパターンの均
一度を最適化させることが非常に難しい。それにより、
半導体素子製造工程のうちエッチング工程で発生するエ
ッチング率不均一による問題は、素子の性能低下及び収
率低下の主原因となっている。
【0006】
【発明が解決しようとする課題】本発明の目的は、半導
体素子の製造工程時にウェーハ上の全面にわたって最適
化されたエッチング率均一度を得るために、ウェーハ上
の位置によって反応ガス分布量を調節できるウェーハ処
理装置のシャワーヘッドを提供することにある。本発明
の他の目的は、エッチング段階でウェーハ上の位置の違
いによって発生する可能性のあるエッチング率均一度の
低下を予め補償して最終的に得られるエッチング率均一
度を最適化させるために、ウェーハ上の位置によって自
在に反応ガス供給量を調節できるウェーハ処理装置のシ
ャワーヘッドを提供することにある。
【0007】
【課題を解決するための手段】前記目的を達成するため
に、本発明ではウェーハ処理装置の反応チャンバ内のプ
ロセス領域で反応ガスの分布量を調節できるシャワーヘ
ッドを提供する。本発明の第1様態によるシャワーヘッ
ドの上部プレートには、外部から供給される前記反応ガ
スを前記反応チャンバ内に流入させるためのガス流入口
が形成されている。フェースプレートには複数の貫通孔
が形成されており、フェースプレートは前記プロセス領
域に対面している。第1バッフルプレートは前記上部プ
レートと前記フェースプレートとの間に昇降自在に設け
られ、前記反応ガスの第1横方向流動通路を形成する第
1ギャップを限定する上面を有し、複数の貫通孔が形成
されている。第2バッフルプレートは前記第1バッフル
プレートと前記フェースプレートとの間に昇降自在に設
けられ、前記第1バッフルプレートとの間に前記反応ガ
スの第2横方向流動通路を形成する第2ギャップを限定
する上面を有し、複数の貫通孔が形成されている。間隔
調節装置は前記第1ギャップ及び第2ギャップの幅を決
定するために使われる。
【0008】前記第1バッフルプレートに形成された複
数の貫通孔は、前記第1バッフルプレートの中心軸に近
接して位置し、前記中心軸から第1距離放射方向に離隔
された第1位置に形成されている複数の第1貫通孔と、
前記第1バッフルプレートのエッジに近接して位置し、
前記中心軸から前記第1距離より大きい第2距離放射方
向に離隔された第2位置に形成されている複数の第2貫
通孔とより構成される。
【0009】前記間隔調節装置は、前記第1貫通孔を通
過する反応ガスの量が前記第2貫通孔を通過する反応ガ
スの量より多くなるようにするために、前記第1ギャッ
プの幅を十分に小さくするように前記第1バッフルプレ
ートの位置を決定する。また、前記間隔調節装置は、前
記第2貫通孔を通過する反応ガスの量が多くなるように
するために、前記第1ギャップの幅を広げるように前記
第1バッフルプレートの位置を決定する。
【0010】また、前記間隔調節装置は、前記第2バッ
フルプレートに形成された複数の貫通孔を通過する反応
ガスの流量が前記プロセス領域内で全体的に一定になる
ようにするために、前記第2ギャップの幅を十分に広げ
るように前記第2バッフルプレートの位置を決定する。
【0011】また、前記間隔調節装置は、前記第2バッ
フルプレートに形成された複数の貫通孔を通過する反応
ガスの流量が前記プロセス領域内の位置によって選択的
に不均一になるようにするために、前記第2ギャップの
幅を狭めるように前記第2バッフルプレートの位置を決
定する。
【0012】本発明の第1様態によるシャワーヘッドに
おいて、前記間隔調節装置は、前記第1ギャップの幅を
決定するために前記第1バッフルプレートの上面に設け
られる第1スペーサリングと、前記第2ギャップの幅を
決定するために前記第1バッフルプレートと第2バッフ
ルプレートとの間に設けられる第2スペーサリングとを
備える。前記第1スペーサリングは前記第1バッフルプ
レートの上面でそのエッジ部分に設けられ、前記第2ス
ペーサリングは前記第2バッフルプレートの上面でその
エッジ部分に設けられる。前記第1スペーサリング及び
第2スペーサリングは各々1つまたは2つ以上の環状リ
ングよりなる。
【0013】前記第1スペーサリング及び第2スペーサ
リングのうち少なくとも一つは複数の鋸歯状ギアが形成
された環状接触部を有する。前記鋸歯状ギアは中心角9
0゜に対応する円弧の長さと同じピッチを有する。前記
第1スペーサリングは前記第1バッフルプレートとの対
向面に形成された複数の鋸歯状ギアよりなる環状接触部
を有する。この場合、前記第1バッフルプレートは前記
第1スペーサリングとの対向面に前記環状接触部の鋸歯
状ギアとかみ合い自在に形成された複数の鋸歯状ギアよ
りなるスペーサリング結合部を含む。または、前記第2
スペーサリングは前記第2バッフルプレートとの対向面
に形成された複数の鋸歯状ギアよりなる環状接触部を有
する。この場合、前記第2バッフルプレートは前記第2
スペーサリングとの対向面に前記環状接触部の鋸歯状ギ
アとかみ合い自在に形成された複数の鋸歯状ギアよりな
るスペーサリング結合部を含む。
【0014】本発明の第1様態によるシャワーヘッドに
おいて、前記第1バッフルプレートは全面的に均一な厚
さを有する単一の円板状部材よりなる。また、本発明の
第1様態によるシャワーヘッドにおいて、前記第1バッ
フルプレートは、複数の貫通孔が形成されており、上面
の中心部に円形空間を提供するグルーブが形成されてい
る円板状ベースプレートと、前記グルーブ内で前記第1
バッフルプレートの中心軸を中心に回転自在に挿入さ
れ、前記ベースプレートに形成された複数の貫通孔のう
ち一部と連通可能な複数の貫通孔が形成されている円板
状インサートプレートとを備える。前記ベースプレート
に形成された複数の貫通孔は、前記第1バッフルプレー
トの中心軸に近接して位置し、前記中心軸から前記イン
サートプレートの半径長さより短い第1距離放射方向に
離隔された第1位置に形成されている複数の第1貫通孔
と、前記ベースプレートのエッジに近接して位置し、前
記中心軸から前記インサートプレートの半径長さより長
い第2距離放射方向に離隔された第2位置に形成されて
いる複数の第2貫通孔とより構成され、前記第1貫通孔
と前記インサートプレートに形成された貫通孔とは前記
インサートプレートの回転距離に応じて連通可能であ
る。前記インサートプレートの回転距離によって前記第
1貫通孔の開口率を変化させるために、前記インサート
プレートに形成された複数の貫通孔と前記ベースプレー
トに形成された複数の第1貫通孔とは各々前記第1バッ
フルプレートの中心軸を基準に一部の角度範囲にだけ選
択的に形成されている。
【0015】本発明の第1様態によるシャワーヘッドに
おいて、前記第1バッフルプレート上に前記第1バッフ
ルプレートと同軸状に設けられ、前記上部プレートを通
じて供給される反応ガスが流入する流入口と、前記流入
口を通じて流入した反応ガスを複数の経路を通じて前記
第1ギャップに流出させるための複数の流出口とが形成
されているガイドバッフルプレートをさらに含む。この
時、前記第1ギャップの幅は前記ガイドバッフルプレー
トの底面と前記第1バッフルプレートの上面とによって
限定される。前記ガイドバッフルプレートに形成された
複数の流出口は各々前記ガイドバッフルプレートの中心
軸から所定距離放射方向に離隔された位置に形成されて
いる。
【0016】前記ガイドバッフルプレートを含むシャワ
ーヘッドにおいて、前記第1バッフルプレートに形成さ
れた複数の貫通孔は、前記第1バッフルプレートの中心
軸に近接して位置し、前記中心軸から第1距離放射方向
に離隔された第1位置に形成されている複数の第1貫通
孔と、前記第1バッフルプレートのエッジに近接して位
置し、前記中心軸から前記第1距離より大きい第2距離
放射方向に離隔された第2位置に形成されている複数の
第2貫通孔とより構成され、前記ガイドバッフルプレー
トに形成された複数の流出口は各々前記ガイドバッフル
プレートの中心軸から前記第1距離より大きく前記第2
距離より小さな第3距離放射方向に離隔された位置に形
成されている。望ましくは、前記流出口から前記第1貫
通孔までの距離は前記流出口から前記第2貫通孔までの
距離より短い。
【0017】また、前記ガイドバッフルプレートを含む
シャワーヘッドにおいて、前記間隔調節装置は、前記第
1ギャップの幅を決定するために前記ガイドバッフルプ
レートと前記第1バッフルプレートとの間に設けられる
第1スペーサリングと、前記第2ギャップの幅を決定す
るために前記第1バッフルプレートと前記第2バッフル
プレートとの間に設けられる第2スペーサリングとを備
える。
【0018】本発明の第1様態によるシャワーヘッドに
おいて、前記間隔調節装置は、前記第1ギャップの幅を
決定するために前記ガイドバッフルプレートを選択的に
昇降させる第1駆動シャフトと、前記第2ギャップの幅
を決定するために前記第1バッフルプレートを選択的に
昇降させる第2駆動シャフトとを含む。前記第1駆動シ
ャフトと前記第2駆動シャフトとは同軸状に設けられて
いる。
【0019】また、本発明の第1様態によるシャワーヘ
ッドにおいて、前記間隔調節装置は、前記第2ギャップ
の幅を決定するために第1ステッピングモータを利用し
て前記第1バッフルプレートを昇降させるための昇降機
構と、前記第1ギャップの幅を決定するために第2ステ
ッピングモータを利用して前記ガイドバッフルプレート
をギア駆動によって昇降させる回転機構とを含み、前記
昇降機構と回転機構は一体に結合されている。
【0020】前記昇降機構は前記第1ステッピングモー
タから伝えられる動力により昇降可能であり、前記ガイ
ドバッフルプレート及び前記第1バッフルプレートを貫
通して延びるシャフトと、前記シャフトの一端に形成さ
れ前記シャフトの昇降によって前記第1バッフルプレー
トを従動的に昇降させる外向フランジとを備え、前記回
転機構は前記第2ステッピングモータから伝えられる動
力により回転可能な前記シャフトと、前記シャフトの回
転によって前記ガイドバッフルプレートを昇降させるた
めに前記シャフトの外周で前記ガイドバッフルプレート
が結合される位置に形成されている外接スクリュー部と
を備える。前記第1バッフルプレートの中心部には前記
シャフトの一端に形成されている外向フランジを収容す
るための円形空間が形成されている。前記円形空間は、
前記ガイドバッフルプレートを昇降させるために前記シ
ャフトが前記回転機構によって回転する時、前記第1バ
ッフルプレートが前記外向フランジの回転力に影響され
ないように、前記第1バッフルプレートに前記外向フラ
ンジを摩擦なしに収容する。前記ガイドバッフルプレー
トの中心部には前記シャフトが貫通する中心ホールが形
成されており、前記中心ホールの内壁には前記シャフト
の外接スクリュー部とかみ合う内接スクリュー部が形成
されている。前記内接スクリュー部は、前記第1バッフ
ルプレートを昇降させるために前記シャフトが前記昇降
機構によって昇降する時、前記ガイドバッフルプレート
が前記シャフトの移動に従動して昇降するように前記外
接スクリュー部とかみ合う。前記回転機構により前記シ
ャフトが回転する時、前記ガイドバッフルプレートの回
転を防止するためのストッパをさらに含む。
【0021】本発明の第1様態によるシャワーヘッドに
おいて、前記第1バッフルプレートに形成された複数の
貫通孔のうち一部と前記第2バッフルプレートに形成さ
れた複数の貫通孔のうち一部とが連通してアラインホー
ルが形成されるように、前記第1バッフルプレートと第
2バッフルプレートとが互いに接しており、前記第2バ
ッフルプレートに対して前記第1バッフルプレートが所
定の角度範囲で回転できるように前記第1バッフルプレ
ートに連結されている回転機構をさらに含む。前記第1
バッフルプレートに形成された複数の貫通孔は前記第1
バッフルプレートの中心軸から半径距離によって相異な
る開口率を有するように分布されており、前記第2バッ
フルプレートに形成された複数の貫通孔は前記第2バッ
フルプレートの中心軸を基準に回転距離によって相異な
る開口率を有するように分布されており、前記アライン
ホールの開口位置を変化させるために前記回転機構は前
記第1バッフルプレートの回転距離を変化させる。前記
第1バッフルプレートはその中心軸を基準に放射状に延
びる複数の扇形領域に区分され、前記第1バッフルプレ
ートの各扇形領域では前記中心軸から選択された半径距
離離隔されている所定範囲内にのみ複数の貫通孔が形成
されている。前記第2バッフルプレートはその中心軸を
基準に放射状に延びる複数の扇形領域に区分され、前記
第2バッフルプレートの複数の扇形領域のうち複数の貫
通孔が形成された扇形領域は規則的な間隔で位置するよ
うに配置されている。前記間隔調節装置は、前記第1ギ
ャップの幅を決定するために前記第1バッフルプレート
及び第2バッフルプレートを同時に昇降させる駆動シャ
フトを含む。
【0022】本発明の第2様態によるシャワーヘッドに
おいて、上部プレートには、外部から供給される前記反
応ガスを前記反応チャンバ内に流入させるためのガス流
入口が形成されている。フェースプレートには複数の貫
通孔が形成されており、フェースプレートは前記プロセ
ス領域に対面している。第1バッフルプレートは前記上
部プレートと前記フェースプレートとの間に設けられ、
複数の貫通孔が形成されている。第2バッフルプレート
は前記第1バッフルプレートと前記フェースプレートと
の間に設けられ、前記第1バッフルプレートとの間に前
記反応ガスの横方向流動通路を形成するギャップを限定
する上面を有し、複数の貫通孔が形成されている。複数
の圧電素子は、前記ギャップを通した反応ガスの流量を
制御するために前記第2バッフルプレートの上面に設け
られている。パワー供給部は前記圧電素子に電圧を印加
する。
【0023】前記複数の圧電素子は、2つの周面を含み
電圧印加によって厚さ膨脹振動モードで振動する圧電層
と、前記圧電層の2つの周面上に各々形成された第1電
極層及び第2電極層と、前記第1バッフルプレートに隣
接して位置し前記第1電極層状に形成された絶縁層とを
各々含む。前記第2電極層は前記第2バッフルプレート
より構成される。
【0024】前記複数の圧電素子は各々、前記第2バッ
フルプレート上で前記第1バッフルプレートの貫通孔に
対応する位置に形成されている。前記圧電素子は前記パ
ワー供給部から印加される電圧の大きさによって調節さ
れる前記圧電素子の厚さ膨張率により、前記第1バッフ
ルプレートの貫通孔から前記ギャップに流出する前記反
応ガスの流量を調節する。また、前記圧電素子は前記パ
ワー供給部から印加される電圧の大きさによって調節さ
れる前記圧電素子の厚さ膨張率により、前記第1バッフ
ルプレートの貫通孔を選択的に開閉する。
【0025】前記第1バッフルプレートで前記貫通孔は
前記第1バッフルプレートの中心軸から所定の半径距離
離隔された第1位置に形成され、前記圧電素子は前記第
2バッフルプレート上で前記第1位置に対応する位置に
形成された環状素子を備える。本発明の第2様態による
シャワーヘッドにおいて、前記第2バッフルプレートと
前記フェースプレートとの間に設けられ、複数の貫通孔
が形成されている第3バッフルプレートをさらに含む。
前記第3バッフルプレートは前記シャワーヘッドを電気
的に安定化させるのに十分な抵抗率を有する高抵抗物質
よりなる。
【0026】本発明の第3様態によるシャワーヘッドに
おいて、第1バッフルプレートには、外部から供給され
る前記反応ガスの流量を中心軸から半径距離によって選
択的に調節するために、前記中心軸から第1半径距離範
囲にある複数の第1貫通孔と前記第1半径距離範囲より
大きい第2半径距離範囲にある複数の第2貫通孔とが形
成されている。第2バッフルプレートは、前記第1バッ
フルプレートとの間に横方向流動通路を形成するギャッ
プが形成されるように前記第1バッフルプレートの下に
設けられており、複数の貫通孔が形成されている。間隔
調節装置は、前記ギャップの幅を調節するために前記第
1バッフルプレート及び第2バッフルプレートのうち少
なくとも一つを移動させる。
【0027】前記間隔調節装置は、前記ギャップの幅を
決定するために所定の厚さで前記第1バッフルプレート
と第2バッフルプレートとの間に設けられるスペーサリ
ングを含む。前記間隔調節装置を構成する前記スペーサ
リングは、複数の鋸歯状ギアが形成された環状接触部を
有する。前記鋸歯状ギアは中心角90゜に対応する円弧
の長さと同じピッチを有する。前記スペーサリングの環
状接触部は前記第1バッフルプレートの底面と接し、前
記第1バッフルプレートの底面にはそのエッジ部に前記
環状接触部の鋸歯状ギアとかみ合い自在に形成された複
数の鋸歯状ギアよりなるスペーサリング結合部が形成さ
れている。望ましくは、前記第1バッフルプレートのス
ペーサリング結合部は前記第1バッフルプレートの底面
中心部より薄い部分を含む。または、前記スペーサリン
グの環状接触部は前記第2バッフルプレートの上面と接
し、前記第2バッフルプレートの上面には前記環状接触
部の鋸歯状ギアとかみ合い自在に形成された複数の鋸歯
状ギアよりなるスペーサリング結合部が形成されてい
る。望ましくは、前記第2バッフルプレートのスペーサ
リング結合部は前記第2バッフルプレートの上面中心部
より薄い部分を含む。
【0028】本発明の第4様態によるシャワーヘッドに
おいて、円形の第1バッフルプレートには複数の貫通孔
が形成されている。円形の第2バッフルプレートは所定
の幅を有するギャップを介在して前記第1バッフルプレ
ートの下に設けられ、複数の貫通孔が形成されている。
圧電素子は、前記第1バッフルプレートに形成された貫
通孔を通した反応ガスの流量を制御するために前記第1
バッフルプレートと第2バッフルプレートとの間に設け
られている。
【0029】前記第1バッフルプレートに形成された複
数の貫通孔は、前記第1バッフルプレートの中心軸から
第1半径距離だけ離隔された位置に形成されている複数
の第1貫通孔と、前記第1バッフルプレートの中心軸か
ら前記第1半径距離より大きい第2半径距離離隔された
位置に形成されている複数の第2貫通孔と、前記第1バ
ッフルプレートの中心軸から前記第2半径距離より大き
い第3半径距離離隔された位置に形成されている複数の
第3貫通孔とを備える。
【0030】前記第2バッフルプレートに形成された複
数の貫通孔は、前記第2バッフルプレートの中心軸位置
に形成された第4貫通孔と、前記第2バッフルプレート
の中心軸から第4半径距離離隔された位置に形成されて
いる複数の第5貫通孔と、前記第2バッフルプレートの
中心軸から前記第4半径距離より大きい第5半径距離離
隔された位置に形成されている複数の第6貫通孔と、前
記第2バッフルプレートの中心軸から前記第5半径距離
より大きい第6半径距離離隔された位置に形成されてい
る複数の第7貫通孔とを備える。
【0031】前記圧電素子は前記第2バッフルプレート
上に形成された複数の環状素子を備える。望ましくは、
前記圧電素子は前記第2バッフルプレートの上に接合さ
れている。前記圧電素子は、前記第2バッフルプレート
上で前記第1バッフルプレートの第1貫通孔に対応する
位置に設けられる第1圧電素子と、前記第2バッフルプ
レート上で前記第1バッフルプレートの第2貫通孔に対
応する位置に設けられる第2圧電素子と、前記第2バッ
フルプレート上で前記第1バッフルプレートの第3貫通
孔に対応する位置に設けられる第3圧電素子とを備え
る。
【0032】本発明の第4様態によるシャワーヘッド
は、前記圧電素子に電圧を印加するためのパワー供給部
をさらに含む。前記圧電素子は前記パワー供給部から印
加される電圧の大きさによって調節可能な厚さ膨張率を
有する。本発明によれば、間隔調節装置によって各バッ
フルプレート間に形成されるギャップの幅を選択的に縮
小及び拡大させることによって、反応チャンバのプロセ
ス領域でウェーハ上の位置によって供給される反応ガス
の量を調節できるだけでなく、ウェーハ上の位置による
反応ガスの流量を必要によって均一または不均一に調節
できる。したがって、半導体素子の製造工程時にウェー
ハ上の全面にわたって最適化されたエッチング率均一度
を得ることができるために、ウェーハ上の位置によって
反応ガス分布量を容易に調節できる。また、ウェーハ上
の位置によって適当に反応ガス供給量を調節することに
よって、エッチング段階でウェーハ上で部分的に発生す
る可能性のあるエッチング率均一度低下をあらかじめ補
償できる。
【0033】
【発明の実施の形態】以下、添付した図面を参照して本
発明の望ましい実施例について詳細に説明する。図1
は、ウェーハをプラズマ方式でエッチング処理するため
に反応チャンバ内のプロセス領域に反応ガスを供給する
のに使用される、本発明の第1実施例によるシャワーヘ
ッドの構成を概略的に示す断面図である。
【0034】図1を参照すれば、本実施例によるシャワ
ーヘッドは、外部から供給される反応ガスを前記反応チ
ャンバ内に流入させるためのガス流入口12が形成され
ている上部プレート10と、前記反応チャンバ内のプロ
セス領域に対面しているフェースプレート20とを含ん
でいる。前記上部プレート10は前記反応チャンバの上
部壁を構成する。
【0035】図2は、前記フェースプレート20の底面
を反応チャンバEIプロセス領域側から見た平面図であ
る。図2に示したように、前記フェースプレート20に
は複数の貫通孔22が均一に形成されている。また図1
を参照すれば、前記上部プレート10と前記フェースプ
レート20との間には第1バッフルプレート30及び第
2バッフルプレート40が前記フェースプレート20と
同軸状に設けられている。前記第1バッフルプレート3
0及び第2バッフルプレート40は前記上部プレート1
0と前記フェースプレート20との間に設けられる。前
記第1バッフルプレート30の上面には第1スペーサリ
ング92よりなる間隔調節装置が設けられており、前記
第1バッフルプレート30と第2バッフルプレート40
との間には第2スペーサリング94よりなる間隔調節装
置が設けられている。前記第1バッフルプレート30及
び第2バッフルプレート40は前記第1スペーサリング
92及び第2スペーサリング94の厚さを調節すること
によって相対的に昇降し、その相対的な位置が決定され
る。これに関する詳細な説明は後述する。
【0036】前記第1バッフルプレート30は全面的に
均一な厚さを有する単一の円板状部材よりなる。前記第
1バッフルプレート30には図3に示したように、複数
の貫通孔32、34が形成されている。前記複数の貫通
孔は前記第1バッフルプレート30の中心軸31に近接
して位置し、前記中心軸31から第1距離d1放射方向
に離隔された第1位置に形成されている複数の第1貫通
孔32と、前記第1バッフルプレート30のエッジに近
接して位置し、前記中心軸31から前記第1距離d1
り大きい第2距離d2放射方向に離隔された第2位置に
形成されている複数の第2貫通孔34とより構成され
る。前記第2バッフルプレート40には図4に示したよ
うに、複数の貫通孔42が全面にわたって均一に形成さ
れている。前記第1バッフルプレート30及び第2バッ
フルプレート40はアルミニウムよりなる。
【0037】図1に示したように、前記第1バッフルプ
レート30の上には前記第1バッフルプレート30と同
軸状にガイドバッフルプレート50が設けられている。
前記ガイドバッフルプレート50の構成を図5から図7
に概略的に示した。図5から図7に示したように、前記
ガイドバッフルプレート50の上面50aには前記上部
プレート10を通じて供給される反応ガスが流入する流
入口52が形成されている。前記流入口52を通じて前
記ガイドバッフルプレート50内に流入した反応ガス
は、複数の経路53を通過して底面50bに形成されて
いる複数の流出口54に流出する。
【0038】前記のように構成されたシャワーヘッドに
おいて、図1に示したように前記第1バッフルプレート
30と前記ガイドバッフルプレート50との間には前記
反応チャンバ内に流入する反応ガスの第1横方向流動通
路を形成する第1ギャップ70が形成される。前記第1
ギャップ70の幅は前記ガイドバッフルプレート50の
底面50bと前記第1バッフルプレート30の上面とに
よって限定される。また、前記第1バッフルプレート3
0と前記第2バッフルプレート40との間には前記反応
ガスの第2横方向流動通路を形成する第2ギャップ80
が形成される。前記第2ギャップ80の幅は前記第1バ
ッフルプレート30の底面と前記第2バッフルプレート
40の上面とによって限定される。
【0039】図8は、前記ガイドバッフルプレート5
0、第1バッフルプレート30及び第2バッフルプレー
ト40において、それぞれのプレートに形成された貫通
孔の相互位置関係をより詳細に説明するための図面であ
る。図8を参照すれば、前記ガイドバッフルプレート5
0に形成された複数の流出口54は各々、前記ガイドバ
ッフルプレート50の中心軸51から前記第1貫通孔3
2が形成されている前記第1距離d1より長く前記第2
貫通孔34が形成されている第2距離d2より短い第3
距離d3放射方向に離隔された位置に形成されている。
前記ガイドバッフルプレート50の流出口54から前記
第1バッフルプレート30の第1貫通孔32までの距離
は、前記流出口54から前記第1バッフルプレート30
の第2貫通孔34までの距離より短く形成することが望
ましい。その理由は、前記ガイドバッフルプレート50
と第1バッフルプレート30との間に形成される第1ギ
ャップ70の幅を調節することによって、選択的に前記
第1バッフルプレート30の第2貫通孔34への流量よ
り第1貫通孔32への流量をより大きく調節するか、ま
たは前記第1貫通孔32及び第2貫通孔34での流量を
一定に調節することを可能にするためである。すなわ
ち、前記流出口54は前記第1貫通孔32により近く位
置しているので、前記第1ギャップ70の幅が狭くなる
ほど前記流出口54から出る反応ガスを前記第1貫通孔
32に誘導することがより容易で、前記第1貫通孔32
を通過する流量が前記第2貫通孔34を通過する流量よ
り大きくなりうる。したがって、前記反応チャンバのプ
ロセス領域ではウェーハのエッジ部分よりセンター部分
により多量の反応ガスを供給できる。一方、前記第1ギ
ャップ70の幅が大きくなれば、前記流出口54から出
る反応ガスが前記第2貫通孔34まで広がる量が増加
し、前記第2貫通孔34を通過する反応ガスの流量が増
加する。
【0040】前記シャワーヘッドを電気的に安定化させ
るために、前記第2バッフルプレート40と前記フェー
スプレート20との間に第3バッフルプレート60が設
けられる。前記第3バッフルプレート60は前記シャワ
ーヘッドを電気的に安定化させるのに十分な抵抗率を有
する高抵抗物質、例えば、SiC(siliconca
rbide)よりなる。図9に示したように、前記第3
バッフルプレート60には複数の貫通孔62が全面にわ
たって均一に形成されている。
【0041】前記第1ギャップ70の幅は、前記ガイド
バッフルプレート50と第1バッフルプレート30との
間で前記第1バッフルプレート30のエッジ部分に設け
られる間隔調節装置である第1スペーサリング92によ
って決定される。また、前記第2ギャップ80の幅は前
記第1バッフルプレート30と第2バッフルプレート4
0との間でそのエッジ部分に設けられる間隔調節装置で
ある第2スペーサリング94によって決定される。
【0042】図10は、前記第1スペーサリング92及
び第2スペーサリング94を具現するために採用可能な
一例として環状リング90を示す斜視図である。前記第
1スペーサリング92及び第2スペーサリング94の厚
さは各々これらを構成する前記環状リング90の厚さt
によって決定される。前記第1ギャップ70及び第2ギ
ャップ80の幅を所望のように調節するために、前記第
1スペーサリング92及び第2スペーサリング94は各
々所望の厚さを有する1つの環状リング90だけを使用
して構成されることもあり、一定の厚さを有する2つ以
上の環状リング90を重畳させて所望の厚さにすること
もある。
【0043】前記第1スペーサリング92の厚さによっ
て前記第1バッフルプレート30の位置を決定でき、そ
れにより前記第1ギャップ70の幅も決定される。前記
第1ギャップ70の幅が狭くなるほど、前記第1バッフ
ルプレート30で前記第1貫通孔32を通過する反応ガ
スの量が前記第2貫通孔34を通過する反応ガスの量よ
り多くなる。また、前記第1ギャップ70の幅が大きく
なるほど、前記第1バッフルプレート30で前記第2貫
通孔34を通過する反応ガスの量が増加する。
【0044】また、前記第2スペーサリング94の厚さ
によって、前記第1バッフルプレート30と前記第2バ
ッフルプレート40との間に形成される第2ギャップ8
0の幅が決定される。前記第2ギャップ80の幅が狭く
なるほど、前記第2バッフルプレート40に形成された
複数の貫通孔42のうち前記第1バッフルプレート30
の第1貫通孔32または第2貫通孔34の近辺にある貫
通孔42を通過する反応ガスの流量が相対的に大きくな
り、前記複数の貫通孔42を通過する反応ガスの流量が
前記プロセス領域内の位置によって選択的に不均一にな
る。一方、前記第2ギャップ80の幅が十分に大きくな
れば、前記複数の貫通孔42を通過する反応ガスの流量
が前記プロセス領域内で全体的に一定になる。
【0045】図11は、前記第1スペーサリング92及
び第2スペーサリング94を具現するために使用可能な
他の例として、複数の鋸歯状ギア192が形成された環
状接触部194を有する環状リング190を示す斜視図
である。図12は、図11の9B−9Bの長さに該当す
る前記環状リング190を平面的に広げて示す図面であ
る。
【0046】図11及び図12を参照すれば、前記鋸歯
状ギア192は中心角θである90゜に対応する円弧の
長さlと同じピッチを有するように形成されている。前
記環状接触部194に形成された鋸歯状ギア192の高
さhは、約0.01〜0.5mmである。
【0047】前記第1ギャップ70内に設けられる前記
第1スペーサリング92を前記環状リング190で構成
する場合、前記鋸歯状ギア192が形成された環状接触
部194は前記第1バッフルプレート30と対向して設
けられることもあり、前記ガイドバッフルプレート50
と対向して設けられることもある。前記第1ギャップ7
0内で前記環状接触部194が前記第1バッフルプレー
ト30と対向して設けられる場合には、前記第1バッフ
ルプレート30は前記環状リング190よりなる第1ス
ペーサリング92との対向面に前記環状接触部194の
鋸歯状ギア192とかみ合い可能なスペーサリング結合
部が形成されねばならない。図13は、前記環状接触部
194との結合面を構成するスペーサリング結合部13
2が形成されている、変形された第1バッフルプレート
130を示した図面である。前記スペーサリング結合部
132には前記環状接触部194の鋸歯状ギア192と
かみ合い可能な複数の鋸歯状ギア(図示せず)が形成さ
れている。前記環状リング190の場合と同じく、前記
スペーサリング結合部132に形成された鋸歯状ギアは
中心角90゜に対応する円弧の長さと同じピッチを有す
る。また、前記スペーサリング結合部132に形成され
た鋸歯状ギアの高さは、0.01〜0.5mmである。
【0048】また、前記第2ギャップ80内に設けられ
る前記第2スペーサリング94を前記環状リング190
で構成する場合、前記鋸歯状ギア192が形成された環
状接触部194は前記第1バッフルプレート30と対向
して設けられることもあり、前記第2バッフルプレート
40と対向して設けられることもある。前記第2ギャッ
プ80内で前記環状接触部194が前記第2バッフルプ
レート40と対向して設けられる場合には、前記第2バ
ッフルプレート40は前記環状リング190よりなる第
2スペーサリング94との対向面に前記環状接触部19
4の鋸歯状ギア192とかみ合い可能なスペーサリング
結合部が形成されねばならない。図14は、前記環状接
触部194との結合面を構成するスペーサリング結合部
142が形成されている、変形された第2バッフルプレ
ート140を示したものである。前記スペーサリング結
合部142には前記環状接触部194の鋸歯状ギア19
2とかみ合い可能な複数の鋸歯状ギア(図示せず)が形
成されている。前記環状リング190の場合と同じく、
前記スペーサリング結合部142に形成された鋸歯状ギ
アは中心角90゜に対応する円弧長さと同じピッチを有
する。また、前記スペーサリング結合部142に形成さ
れた鋸歯状ギアの高さは、0.01〜0.5mmであ
る。
【0049】図15及び図16は、前記第1バッフルプ
レート30と前記変形された第2バッフルプレート14
0との間に設けられる前記第2スペーサリング94が前
記環状リング190で構成された場合、前記環状リング
190を利用して前記第2ギャップ80の幅を調節する
方法について説明するための主要部を示す図面である。
図15は、前記第2ギャップ80の幅が最も小さく設け
られた場合を示す図面である。図15で、前記環状リン
グ190が矢印a方向に回転するか、前記変形された第
2バッフルプレート140が矢印b方向に回転すれば、
その回転距離によって、図16に示したように前記第2
ギャップ80の幅はΔw増加できる。したがって、前記
環状リング190または前記変形された第2バッフルプ
レート140の回転距離を調節することによって、前記
第2ギャップ80の幅を所望通り調節できる。
【0050】図17及び図18は、前記変形された第2
バッフルプレート140のスペーサリング結合部142
を説明するための断面図であって、各々図14の13A
−13A断面に対応する図面である。図17に示したよ
うに、前記変形された第2バッフルプレート140のス
ペーサリング結合部142のうち隣接した2つの鋸歯状
ギアがかみ合う低い段差部分142aは、前記変形され
た第2バッフルプレート140の上面中心部140aよ
り薄い。また、図18に示したように、前記変形された
第2バッフルプレート140のスペーサリング結合部1
42のうち各鋸歯状ギアの最も高い部分142bは、前
記変形された第2バッフルプレート140の上面中心部
140aより厚い。
【0051】前記環状リング190によって前記第2ギ
ャップ80の幅を決定するために、前記環状リング19
0の環状接触部194が前記第1バッフルプレート30
と対向して配置される場合には、前記第1バッフルプレ
ート30の底面エッジ部には前記変形された第2バッフ
ルプレート140の上面エッジ部に形成されている前記
スペーサリング結合部142と同じ構成を有するスペー
サリング結合部が形成されねばならず、これに関する具
体的な構成は前記変形された第2バッフルプレート14
0について説明した通りなので、それに関する詳細な説
明は省略する。ただ、前記環状リング190の環状接触
部194が前記第1バッフルプレート30と対向して配
置される場合には、前記環状リング190の環状接触部
194は前記第1バッフルプレート30の底面と接し、
前記第1バッフルプレート30のスペーサリング結合部
は前記第1バッフルプレート30の底面中心部より薄い
部分を含む。
【0052】ここには前記環状リング190によって前
記第2ギャップ80の幅を調節する場合についてのみ説
明したが、前記環状リング190を利用して前記第1ギ
ャップ70の幅を調節する場合にも前記構成が同一に適
用されることは当業者であればよく分かることである。
【0053】前記実施例では前記第1バッフルプレート
30が全面的に均一な厚さを有する単一の円板状部材よ
りなる場合について説明した。しかし、前記第1バッフ
ルプレート30は必要によって多様に構成できる。図1
9及び図20は変形された第1バッフルプレート230
の構成を説明するための図面であって、図19は前記変
形された第1バッフルプレート230の中心軸231を
過ぎる部分の断面図であり、図20は前記変形された第
1バッフルプレート230を分解して示す斜視図であ
る。
【0054】図19及び図20を参照すれば、前記変形
された第1バッフルプレート230は、上面の中心部に
円形空間を提供するグルーブ236が形成されている円
板状のベースプレート232と、前記グルーブ236内
で前記変形された第1バッフルプレート230の中心軸
231を中心に回転自在に挿入される円板状のインサー
トプレート234とよりなる。前記インサートプレート
234には前記インサートプレート234を所定角度で
回転させるための駆動装置(図示せず)が連結されてい
る。前記ベースプレート232は、前記変形された第1
バッフルプレート230の中心軸231に近接して位置
し前記中心軸231から前記インサートプレート234
の半径長さより短い第1距離d1放射方向に離隔された
第1位置に形成されている複数の第1貫通孔237と、
前記ベースプレート232のエッジに近接して位置し前
記中心軸231から前記インサートプレートの半径長さ
より長い第2距離d2放射方向に離隔された第2位置に
形成されている複数の第2貫通孔238とを備える。前
記インサートプレート234には前記ベースプレート2
32に形成された複数の第1貫通孔237と連通可能な
複数の貫通孔235が形成されている。前記インサート
プレート234の回転距離によって前記第1貫通孔23
7の開口率を変化させるために、前記インサートプレー
ト234に形成された複数の貫通孔235と前記ベース
プレート232に形成された複数の第1貫通孔237と
は各々前記変形された第1バッフルプレート230の中
心軸231を基準に一部角度範囲にのみ選択的に形成さ
れている。すなわち、前記インサートプレート234の
回転距離によって、前記ベースプレート232に形成さ
れた第1貫通孔237と前記インサートプレート234
に形成された貫通孔235の一部または全部とが連通可
能になる。
【0055】前記のような構成を有する前記変形された
第1バッフルプレート230を採用すると、前記インサ
ートプレート234の回転距離によって前記ベースプレ
ート232に形成された第1貫通孔237の開口率を変
化させることにより、前記反応チャンバEIプロセス領
域でウェーハ上のセンター部分に供給される反応ガスの
量を必要によって調節できる。
【0056】図21は、本発明の第2実施例によるシャ
ワーヘッドの要部構成を概略的に示すものである。図2
1に示す実施例では、第1ギャップ70及び第2ギャッ
プ80の幅を決定するための間隔調節装置として各々第
1駆動シャフト292及び第2駆動シャフト294を使
用したことを除いて、他の構成は前述した通りである。
すなわち、図21に示す実施例において、前記間隔調節
装置は第1駆動シャフト292及び第2駆動シャフト2
94を備える。前記第1駆動シャフト292は前記第1
ギャップ70の幅を決定するためにガイドバッフルプレ
ート50を選択的に昇降させる。前記第2駆動シャフト
294は前記第2ギャップ80の幅を決定するために第
1バッフルプレート30を選択的に昇降させる。前記第
1駆動シャフト292と前記第2駆動シャフト294は
同軸状に設けられており、各々の昇降量を相対的に制御
することによって前記第1ギャップ70及び第2ギャッ
プ80の幅を決定する。前記第1ギャップ70及び第2
ギャップ80の幅は各々前記反応チャンバのプロセス領
域でウェーハ上のセンター部分及びエッジ部分に各々供
給される必要がある反応ガスの流量を考慮して、決定す
る。前記第1駆動シャフト292及び第2駆動シャフト
294を利用して前記第1ギャップ70及び第2ギャッ
プ80の幅を決定することによって、前記プロセス領域
でウェーハ上のセンター部分またはエッジ部分の各々で
の反応ガス供給量を自在に調節でき、必要によって反応
ガス供給量をウェーハ上の全面にわたって均一または不
均一に調節できる。
【0057】図22から図24は、本発明の第3実施例
によるシャワーヘッドの要部構成を概略的に示す図面で
ある。図22に示す実施例においては、第1ギャップ7
0及び第2ギャップ80の幅を決定するための間隔調節
装置として各々昇降機構392及び回転機構394を利
用する。前記昇降機構392及び回転機構394よりな
る間隔調節装置を除外した他の部分は前述した実施例と
同じ構成を有する。前記昇降機構392は前記第2ギャ
ップ80の幅を決定するために第1ステッピングモータ
312を利用して第1バッフルプレート30を昇降させ
る。前記回転機構394は前記第1ギャップ70の幅を
決定するために、第2ステッピングモータ314を利用
してガイドバッフルプレート50をギア駆動によって昇
降させる。
【0058】前記昇降機構392と回転機構394と
は、図22に示したように一体に結合されている。前記
昇降機構392は前記第1ステッピングモータ312か
ら伝えられる動力により昇降可能である。前記昇降機構
392は前記ガイドバッフルプレート50及び前記第1
バッフルプレート30を貫通して延びるシャフト382
と、前記シャフト382の一端に形成されており、前記
シャフト382の昇降によって前記第1バッフルプレー
ト30を従動的に昇降させる外向フランジ384とを含
む。
【0059】また、前記回転機構394は前記第2ステ
ッピングモータ314から伝えられる動力により回転可
能な前記シャフト382と、前記シャフト382の回転
によって前記ガイドバッフルプレート50を昇降させる
ために、前記シャフト382の外周で前記ガイドバッフ
ルプレート50が結合される位置に形成されている外接
スクリュー部372とを含む。
【0060】図23に示したように、前記ガイドバッフ
ルプレート50の中心部には前記シャフト382が貫通
する中心ホール350が形成されており、前記中心ホー
ル350の内壁には前記シャフト382の外接スクリュ
ー部372とかみ合う内接スクリュー部352が形成さ
れている。
【0061】図24に示したように、前記第1バッフル
プレート30の中心部には前記シャフト382が貫通す
る中心ホール332と、前記シャフト382の一端に形
成されている外向フランジ384を収容するための円形
空間334とが連通された状態に形成されている。
【0062】前記第2ギャップ80の幅は前記昇降機構
392を利用して調節する。この時、前記第1バッフル
プレート30を昇降させるために前記昇降機構392を
利用して前記シャフト382を昇降させれば、前記ガイ
ドバッフルプレート50には前記外接スクリュー部37
2とかみ合う内接スクリュー部352が形成されている
ので、前記シャフト382の昇降に従動して前記ガイド
バッフルプレート50が昇降する。したがって、前記シ
ャフト382の昇降時には前記第1バッフルプレート3
0及び前記ガイドバッフルプレート50が同時に昇降す
る。
【0063】前記第1ギャップ70の幅は前記回転機構
394を利用して調節する。前記回転機構394を利用
して前記シャフト382を回転させれば、前記シャフト
382の前記外接スクリュー部372と前記ガイドバッ
フルプレート50の中心ホール350に形成されている
内接スクリュー部352との相互作用によって前記ガイ
ドバッフルプレート50が昇降する。この時、前記第1
バッフルプレート30には前記外向フランジ384の回
転運動が影響されないように前記外向フランジ384を
収容するための円形空間334が形成されているので、
前記シャフト382が前記回転機構394によって回転
する時、前記第1バッフルプレート30は回転せずに停
止状態になる。ここで、前記回転機構394により前記
シャフト382が回転する時、前記ガイドバッフルプレ
ート50が回転せずに昇降だけをするように、前記ガイ
ドバッフルプレート50には前記ガイドバッフルプレー
ト50の回転を防止するためのストッパ354が連結さ
れている。
【0064】前記のような構成において、前記昇降機構
392及び回転機構394を利用して前記第2ギャップ
80及び第1ギャップ70の幅を各々決定することによ
り、前記プロセス領域でウェーハ上のセンター部分また
はエッジ部分の各々での反応ガス供給量を自在に調節で
き、必要によって反応ガス供給量をウェーハ上の全面に
わたって均一または不均一に調節できる。
【0065】図25は、本発明のまた第4実施例による
シャワーヘッドの要部構成を概略的に示したものであ
る。図25において、前述した構成と同じ構成を有する
構成要素については同じ参照符号で表示し、それに関す
る詳細な説明は省略する。図25に示す実施例におい
て、第1バッフルプレート430及び第2バッフルプレ
ート440は相互に接している。したがって、前記第1
バッフルプレート430と第2バッフルプレート440
との間に形成される第2ギャップ80の幅は実質的に0
である。ガイドバッフルプレート50と前記第1バッフ
ルプレート430との間に形成される第1ギャップ70
の幅を決定するために、前記第1バッフルプレート43
0及び第2バッフルプレート440を同時に昇降させる
駆動シャフト480が設けられている。前記駆動シャフ
ト480によって前記第2バッフルプレート440が昇
降する時、前記第1バッフルプレート430が前記第2
バッフルプレート440に従動して昇降し、前記ガイド
バッフルプレート50の底面と前記第1バッフルプレー
ト430の上面とによって前記第1ギャップ70の幅が
限定される。前記ガイドバッフルプレート50について
の詳細な構成は前述した通りである。
【0066】前記第1バッフルプレート430には回転
機構490が連結されている。前記回転機構490によ
って前記第1バッフルプレート430は前記第2バッフ
ルプレート440に対して所定の角度範囲で回転可能で
ある。すなわち、前記回転機構490が前記第1バッフ
ルプレート430の回転角度を変化させることによっ
て、前記第1バッフルプレート430と第2バッフルプ
レート440とが互いに多様な回転角度を有して相互に
接することができる。
【0067】図26は前記第1バッフルプレート430
の平面図である。前記第1バッフルプレート430には
複数の貫通孔432が形成されている。前記複数の貫通
孔432は前記第1バッフルプレート430の中心軸4
31からの半径距離によって相異なる開口率を有するよ
うに分布されている。
【0068】前記第1バッフルプレート430はその中
心軸431を基準に放射状に延びる複数の扇形領域43
5a、435b、435cに区分されている。前記各扇
形領域435a、435b、435cでは、前記中心軸
431から選択された半径距離離隔されている所定の範
囲内にのみ前記複数の貫通孔432が形成されている。
すなわち、前記扇形領域435aでは前記中心軸431
から第1半径距離r1離隔されている第1範囲436a
内にのみ前記複数の貫通孔432が形成されている。そ
して、前記扇形領域435bでは前記中心軸431から
第2半径距離r 2離隔されている第2範囲436b内に
のみ前記複数の貫通孔432が形成されている。また、
前記扇形領域435cでは前記中心軸431から第3半
径距離r 3離隔されている第3範囲436c内にのみ前
記複数の貫通孔432が形成されている。
【0069】図27は第2バッフルプレート440の平
面図である。前記第2バッフルプレート440には複数
の貫通孔442が形成されている。前記複数の貫通孔4
42は前記第2バッフルプレート440の中心軸441
を基準に回転距離によって相異なる開口率を有するよう
に分布されている。
【0070】前記第2バッフルプレート440はその中
心軸441を基準に放射状に延びる複数の扇形領域44
5a、445b、445cに区分されている。前記第2
バッフルプレート440に形成された複数の扇形領域4
45a、445b、445cは各々前記第1バッフルプ
レート430に形成された複数の扇形領域435a、4
35b、435cに対応する大きさを有する。前記各扇
形領域445a、445b、445cのうち一部の扇形
領域445b、445cでは開口率が0になる。すなわ
ち、前記第2バッフルプレート440の複数の扇形領域
445a、445b、445cのうち前記複数の貫通孔
442が形成されている扇形領域445aは、規則的な
間隔で配置されている。
【0071】前記第1バッフルプレート430と前記第
2バッフルプレート440とが図25に示したように相
互に接しているため、前記第1バッフルプレート430
に形成された前記複数の貫通孔432のうち一部と前記
第2バッフルプレート440に形成された複数の貫通孔
442のうち一部とが連通してアラインホールが形成さ
れる。前記アラインホールの開口位置は、前記回転機構
490による前記第1バッフルプレート430の回転距
離によって変化する。
【0072】図28から図30は各々、第1バッフルプ
レート430と第2バッフルプレート440とが相異な
る回転距離を有して接している時、前記第2バッフルプ
レート440の底面から見た平面図である。図28から
図30には各々、前記回転機構490によって前記第1
バッフルプレート430が多様な角度で回転する時、前
記第1バッフルプレート430と前記第2バッフルプレ
ート440とが相互に接することによって形成されるア
ラインホールの位置変化がよく示されている。
【0073】具体的に説明すれば、図28は、前記第1
バッフルプレート430の扇形領域435aと前記第2
バッフルプレート440の扇形領域445aとが互いに
重畳するように前記第1バッフルプレート430が前記
回転機構490により所定の角度距離だけ回転した場合
である。この場合には、前記第1バッフルプレート43
0の扇形領域435aのうち前記第1範囲436a内に
形成された複数の貫通孔432だけが前記第2バッフル
プレート440の扇形領域445a内に形成された複数
の貫通孔442と連通して、前記第1範囲436aに該
当する部分にのみアラインホール452が形成され、前
記第2バッフルプレート440に形成された他の貫通孔
442は前記第1バッフルプレート430によって閉塞
される。したがって、前記第1バッフルプレート430
と第2バッフルプレート440とが図28のように相互
に接している場合には、反応チャンバ内ではプロセス領
域でウェーハ上のエッジ部分に該当する領域に多量の反
応ガスが供給される。
【0074】図29は、前記第1バッフルプレート43
0の扇形領域435bと前記第2バッフルプレート44
0の扇形領域445aとが互いに重畳するように前記第
1バッフルプレート430が前記回転機構490により
所定の角度距離だけ回転した場合を示すものである。こ
の場合には、前記第1バッフルプレート430の扇形領
域435bのうち前記第2範囲436b内に形成された
複数の貫通孔432だけが前記第2バッフルプレート4
40の扇形領域445a内に形成された複数の貫通孔4
42と連通して、前記第2範囲436bに該当する部分
にのみアラインホール452が形成され、前記第2バッ
フルプレート440に形成された他の貫通孔442は前
記第1バッフルプレート430によって閉塞される。し
たがって、前記第1バッフルプレート430と第2バッ
フルプレート440とが図29のように相互に接してい
る場合には、前記反応チャンバ内では前記プロセス領域
でウェーハ上のセンター部分とエッジ部分との中間部分
に該当する領域により多量の反応ガスが供給される。
【0075】図30は、前記第1バッフルプレート43
0の扇形領域435cと前記第2バッフルプレート44
0の扇形領域445aとが互いに重畳するように前記第
1バッフルプレート430が前記回転機構490により
所定の角度だけ回転した場合を示すものである。この場
合には、前記第1バッフルプレート430の扇形領域4
35cのうち前記第3範囲436c内に形成された複数
の貫通孔432だけが前記第2バッフルプレート440
の扇形領域445a内に形成された複数の貫通孔442
と連通して、前記第3範囲436cに該当する部分にの
みアラインホール452が形成され、前記第2バッフル
プレート440に形成された他の貫通孔442は前記第
1バッフルプレート430によって閉塞される。したが
って、前記第1バッフルプレート430と第2バッフル
プレート440とが図30のように相互に接している場
合には、前記反応チャンバ内では前記プロセス領域でウ
ェーハ上のセンター部分に近い領域により多量の反応ガ
スが供給される。
【0076】前記のように、前記第1バッフルプレート
430と前記第2バッフルプレート440との重畳によ
って形成されるアラインホール452の開口位置は、前
記回転機構490によって変化する前記第1バッフルプ
レート430の回転距離によって変わる。したがって、
前記プロセス領域上のウェーハ上の位置によって反応ガ
ス供給量を調節するために、前記回転機構490を利用
して前記第1バッフルプレート430の回転角度を調節
すれば前記アラインホール452の開口位置を選択でき
る。
【0077】図31は、本発明の第5実施例によるシャ
ワーヘッドの要部構成を説明するための断面図である。
図31において、前述した構成と同じ構成を有する構成
要素については同じ参照符号で表示し、それに関する詳
細な説明は省略する。図31に示した実施例によるシャ
ワーヘッドは、図1を参照して説明した上部プレート1
0とフェースプレート20との間に設けられる第1バッ
フルプレート530を含む。また、前記第1バッフルプ
レート530と前記フェースプレート20との間に設け
られ、前記第1バッフルプレート530との間に反応ガ
スの流動通路を形成する第2ギャップ80を限定する上
面を有する第2バッフルプレート540を含む。
【0078】前記第1バッフルプレート530と前記第
2バッフルプレート540との間に形成される第2ギャ
ップ80を通した反応ガスの流量を制御するために、前
記第2バッフルプレート540の上面には複数の環状圧
電素子582、584、586が設けられている。
【0079】図32は前記第1バッフルプレート530
の平面図である。図32に示したように、前記第1バッ
フルプレート530には複数の貫通孔532、534、
536が形成されている。前記複数の貫通孔は前記第1
バッフルプレート530の中心軸531から第1半径距
離R1離隔された位置に形成されている複数の第1貫通
孔532と、前記中心軸531から前記第1半径距離R
1より大きい第2半径距離R2離隔された位置に形成され
ている複数の第2貫通孔534と、前記中心軸532か
ら前記第2半径距離R2より大きい第3半径距離R3離隔
された位置に形成されている複数の第3貫通孔536と
を備える。
【0080】図33は、前記第2バッフルプレート54
0の平面図である。図33に示したように、前記第2バ
ッフルプレート540には複数の貫通孔542、54
4、546、548が形成されている。前記複数の貫通
孔は前記第2バッフルプレート540の中心軸541の
位置に形成された第4貫通孔542と、前記中心軸54
1から第4半径距離R4離隔された位置に形成されてい
る複数の第5貫通孔544と、前記中心軸541から前
記第4半径距離R4より大きい第5半径距離R5離隔され
た位置に形成されている複数の第6貫通孔546と、前
記中心軸541から前記第5半径距離R5より大きい第
6半径距離R6離隔された位置に形成されている第7貫
通孔548とを備える。
【0081】前記複数の圧電素子は、前記第2バッフル
プレート540上で前記第4貫通孔542と第5貫通孔
544との間に設けられる環状の第1圧電素子582
と、前記第5貫通孔544と第6貫通孔546との間に
設けられる環状の第2圧電素子584と、前記第6貫通
孔546と第7貫通孔548との間に設けられる第3圧
電素子586とを含む。前記第1、第2及び第3圧電素
子582、584、586は各々前記第2バッフルプレ
ート540上に接合されている。前記第2バッフルプレ
ート540の上で前記第1圧電素子582が設けられる
位置は、前記第1バッフルプレート530の第1貫通孔
532が形成された位置に対応する。前記第2バッフル
プレート540の上で前記第2圧電素子584が設けら
れる位置は、前記第1バッフルプレート530の第2貫
通孔534が形成された位置に対応する。前記第2バッ
フルプレート540の上で前記第3圧電素子586が設
けられる位置は、前記第1バッフルプレート530の第
3貫通孔536が形成された位置に対応する。
【0082】図34は、図31のAで表示された部分を
拡大して示す図面である。図31から図34を参照すれ
ば、前記圧電素子582、584、586は各々電圧印
加によって厚さ膨脹モードで振動する圧電層572を含
む。前記圧電層572はPZT(lead zirco
nate titanate)、PbTiO 3、BaTi
3またはPVDFポリマー(poly vinylid
ene fluoride)よりなる。前記圧電層57
2はその両側に第1電極574及び第2電極576が各
々形成される2つの周面を含んでいる。前記第1電極5
74及び第2電極576のうち前記第1バッフルプレー
ト530に隣接して位置した前記第1電極574の上に
は絶縁層578が形成されている。前記第2電極576
は前記第2バッフルプレート540で構成される。すな
わち、前記第2バッフルプレート540が前記第2電極
576の役割をする。したがって、前記圧電素子582
は前記圧電層572と前記第2バッフルプレート540
との接合面を含む。この時、前記第2バッフルプレート
540はアルミニウムで形成されることが望ましい。
【0083】前記圧電素子582、584、586はパ
ワー供給部590から電圧を印加される。前記圧電素子
582、584、586の圧電層572は各々前記パワ
ー供給部590から印加される電圧の大きさによってそ
の厚さ膨張率が調節される。したがって、前記圧電層5
72の厚さ膨張率によって前記第1圧電素子582と前
記第1貫通孔532との距離が調節され、前記第1バッ
フルプレート530の第1貫通孔532から前記第2ギ
ャップ80に流出する前記反応ガス510の流量を調節
できる。また、前記パワー供給部590から印加される
電圧の大きさを調節することによって、前記圧電層57
2の厚さ膨張率を調節して前記第1バッフルプレート5
30の第1貫通孔532を選択的に開閉しうる。前記第
1圧電素子582について説明した前記のような構成
は、前記第2圧電素子584及び第3圧電素子586に
ついても同じく適用される。このような構成を採用する
ことによって、前記第1バッフルプレート530に形成
された複数の第1、第2及び第3貫通孔532、53
4、536のうちその中心軸531から所望の半径距離
に形成されている貫通孔を選択的に開閉させうるだけで
なく、その貫通孔を経る反応ガスの流量を調節できる。
したがって、印加される電圧の大きさによって異なる厚
さ膨張率を示す前記圧電素子582、584、586を
利用して、反応チャンバのプロセス領域内におけるウェ
ーハ上の特定位置での必要な反応ガスの流量に応じて、
前記第1バッフルプレート530に形成された複数の第
1、第2及び第3貫通孔532、534、536を経る
反応ガスの流量を選択的に制御できる。
【0084】図示しなかったが、図31を参照して説明
したような構成を有するシャワーヘッドにおいて、前記
第1バッフルプレート530上には図5から図7を参照
して説明したようなガイドバッフルプレート50が設け
られることがある。この時には、前記ガイドバッフルプ
レート50と前記第1バッフルプレート530との間に
第1ギャップ70に対応するギャップが形成され、反応
ガスの横方向流動通路を提供する。
【0085】また、図31を参照して説明したような構
成を有するシャワーヘッドにおいて、前記第2バッフル
プレート540と前記フェースプレート20との間には
図9を参照して説明したような第3バッフルプレート6
0をさらに含むことがある。図31から図34を参照し
て説明したように、圧電素子を利用して前記第1バッフ
ルプレート530と第2バッフルプレート540との間
で反応ガスの供給量を調節する場合、外部から供給され
る電圧の大きさによってシャワーヘッドの中心から半径
方向に反応ガスの供給量を調節できる。したがって、シ
ャワーヘッド内部で機械的な移動がほとんどなく、反応
ガス供給量を調節するための制御性能が向上する利点が
ある。
【0086】前記のように、本発明によるシャワーヘッ
ドは隣接した2つのバッフルプレートの間に反応ガスの
流動通路を形成するギャップの幅を決定するための間隔
調節装置を含む。前記間隔調節装置によって前記ギャッ
プの幅を選択的に縮小または拡大させることにより、反
応チャンバのプロセス領域でウェーハ上の位置によって
反応ガスの供給量を調節できるだけでなく、ウェーハ上
の位置による反応ガスの流量を必要によって均一または
不均一に調節できる。
【0087】
【発明の効果】したがって、本発明によれば、半導体素
子の製造工程時にウェーハ上の全面にわたって最適なエ
ッチング率均一度を得るために、ウェーハ上の位置によ
って反応ガス分布量を容易に調節できる。また、ウェー
ハ上の位置によって自在に反応ガス供給量を調節するこ
とにより、エッチング段階でウェーハ上で部分的に発生
する可能性のあるエッチング率均一度の低下をあらかじ
め補償し、最終的に得られるエッチング率均一度を最適
化できる。したがって、半導体素子製造工程でウェーハ
上の位置によってパターン均一度を自在に最適化できる
だけでなく、半導体製造装置を開発する段階でウェーハ
上の均一度問題を過度に考慮しなくてもよいため、半導
体製造装置を開発するのにかかる時間及びコストを減ら
しうる。上記で、本発明を望ましい実施例をあげて詳細
に説明したが、本発明は前記実施例に限定されず、本発
明の技術的思想の範囲内で当業者によっていろいろ変形
が可能である。
【図面の簡単な説明】
【図1】本発明の第1実施例によるシャワーヘッドの構
成を概略的に示す断面図である。
【図2】本発明の第1実施例によるシャワーヘッドのフ
ェースプレートを示す平面図である。
【図3】本発明の第1実施例によるシャワーヘッドの第
1バッフルプレートを示す平面図である。
【図4】本発明の第1実施例によるシャワーヘッドの第
2バッフルプレートを示す平面図である。
【図5】本発明の第1実施例によるシャワーヘッドのガ
イドバッフルプレートを示す模式図である。
【図6】本発明の第1実施例によるシャワーヘッドのガ
イドバッフルプレートを示す模式図である。
【図7】本発明の第1実施例によるシャワーヘッドのガ
イドバッフルプレートを示す模式図である。
【図8】本発明の第1実施例によるガイドバッフルプレ
ート、第1バッフルプレート及び第2バッフルプレート
の各々に形成された貫通孔の相互位置関係を説明するた
めの模式図である。
【図9】本発明の第1実施例によるシャワーヘッドの第
3バッフルプレートを示す平面図である。
【図10】 本発明の第1実施例によるシャワーヘッド
に採用される間隔調節装置の一例である環状リングを示
す斜視図である。
【図11】本発明の第1実施例によるシャワーヘッドに
採用される間隔調節装置の他の例である環状リングを示
す斜視図である。
【図12】図11の9B−9Bの長さに該当する環状リ
ングを平面的に広げて示す模式図である。
【図13】本発明の第1実施例によるシャワーヘッドに
採用可能な変形された第1バッフルプレートの一例を示
す平面図である。
【図14】本発明の第1実施例によるシャワーヘッドに
採用可能な変形された第2バッフルプレートを示す平面
図である。
【図15】図11の環状リングを使用して第2ギャップ
の幅を調節する方法を説明するための模式図である。
【図16】図11の環状リングを使用して第2ギャップ
の幅を調節する方法を説明するための模式図である。
【図17】図14の13A−13A断面に対応する断面
図である。
【図18】図14の13A−13A断面に対応する断面
図である。
【図19】本発明の第1実施例によるシャワーヘッドに
採用可能な変形された第1バッフルプレートの他の例を
示す模式図である。
【図20】本発明の第1実施例によるシャワーヘッドに
採用可能な変形された第1バッフルプレートの他の例を
示す斜視図である。
【図21】本発明の第2実施例によるシャワーヘッドの
要部構成を概略的に示す模式図である。
【図22】本発明の第3実施例によるシャワーヘッドの
要部構成を概略的に示す模式図である。
【図23】本発明の第3実施例によるシャワーヘッドの
要部構成を概略的に示す模式図である。
【図24】本発明の第3実施例によるシャワーヘッドの
要部構成を概略的に示す模式図である。
【図25】本発明の第4実施例によるシャワーヘッドの
要部構成を概略的に示す模式図である。
【図26】本発明の第4実施例によるシャワーヘッドの
第1バッフルプレートを示す平面図である。
【図27】本発明の第4実施例によるシャワーヘッドの
第2バッフルプレートを示す平面図である。
【図28】本発明の第4実施例によるシャワーヘッドの
第1バッフルプレート及び第2バッフルプレートが相異
なる回転距離を有して接している時、第2バッフルプレ
ートの底面から見た状態を示す平面図である。
【図29】本発明の第4実施例によるシャワーヘッドの
第1バッフルプレート及び第2バッフルプレートが相異
なる回転距離を有して接している時、第2バッフルプレ
ートの底面から見た状態を示す平面図である。
【図30】本発明の第4実施例によるシャワーヘッドの
第1バッフルプレート及び第2バッフルプレートが相異
なる回転距離を有して接している時、第2バッフルプレ
ートの底面から見た状態を示す平面図である。
【図31】本発明の第5実施例によるシャワーヘッドの
要部構成を説明するための断面図である。
【図32】本発明の第5実施例によるシャワーヘッドの
第1バッフルプレートを示す平面図である。
【図33】本発明の第5実施例によるシャワーヘッドの
第2バッフルプレートを示す平面図である。
【図34】図31のAで表示された部分を拡大して示す
断面図である。
【符号の説明】
10 上部プレート 12 ガス流入口 20 フェースプレート 22 貫通孔 30 第1バッフルプレート 32、34 貫通孔 40 第2バッフルプレート 42 貫通孔 50 ガイドバッフルプレート 60 第3バッフルプレート 70 第1ギャップ 80 第2ギャップ 92 第1スペーサリング 94 第2スペーサリング
───────────────────────────────────────────────────── フロントページの続き (72)発明者 権 五益 大韓民国ソウル特別市東大門区長安3洞 336番地市営アパート45棟503号 (72)発明者 趙 慧珍 大韓民国京畿道安養市東安区虎渓2洞935 −25番地 Fターム(参考) 5F004 BA04 BA06 BB29 BC03 CA02

Claims (108)

    【特許請求の範囲】
  1. 【請求項1】 ウェーハ処理装置で反応チャンバ内のプ
    ロセス領域に反応ガスを供給するためのシャワーヘッド
    において、 外部から供給される前記反応ガスを前記反応チャンバ内
    に流入させるためのガス流入口が形成されている上部プ
    レートと、 複数の貫通孔が形成され前記プロセス領域に対面してい
    るフェースプレートと、 前記上部プレートと前記フェースプレートとの間に昇降
    自在に設けられ、前記反応ガスの第1横方向流動通路を
    形成する第1ギャップを限定する上面を有し、複数の貫
    通孔が形成されている第1バッフルプレートと、 前記第1バッフルプレートと前記フェースプレートとの
    間に昇降自在に設けられ、前記第1バッフルプレートと
    の間に前記反応ガスの第2横方向流動通路を形成する第
    2ギャップを限定する上面を有し、複数の貫通孔が形成
    されている第2バッフルプレートと、 前記第1ギャップ及び前記第2ギャップの幅を決定する
    ための間隔調節装置と、 を備えることを特徴とするシャワーヘッド。
  2. 【請求項2】 前記第1バッフルプレートに形成された
    複数の貫通孔は、 前記第1バッフルプレートの中心軸に近接して配置さ
    れ、前記中心軸から第1距離放射方向に離隔された第1
    位置に形成されている複数の第1貫通孔と、 前記第1バッフルプレートのエッジに近接して配置さ
    れ、前記中心軸から前記第1距離より大きい第2距離放
    射方向に離隔された第2位置に形成されている複数の第
    2貫通孔と、 から構成されることを特徴とする請求項1に記載のシャ
    ワーヘッド。
  3. 【請求項3】 前記間隔調節装置は、前記第1貫通孔を
    通過する反応ガスの量を前記第2貫通孔を通過する反応
    ガスの量より多くするために、前記第1ギャップの幅を
    十分に小さくするように前記第1バッフルプレートの位
    置を決定することを特徴とする請求項2に記載のシャワ
    ーヘッド。
  4. 【請求項4】 前記間隔調節装置は、前記第2貫通孔を
    通過する反応ガスの量を多くするために、前記第1ギャ
    ップの幅を広げるように前記第1バッフルプレートの位
    置を決定することを特徴とする請求項2に記載のシャワ
    ーヘッド。
  5. 【請求項5】 前記間隔調節装置は、前記第2バッフル
    プレートに形成された複数の貫通孔を通過する反応ガス
    の流量をプロセス領域内で全体的に一定にするために、
    前記第2ギャップの幅を十分に広げるように前記第2バ
    ッフルプレートの位置を決定することを特徴とする請求
    項1に記載のシャワーヘッド。
  6. 【請求項6】 前記間隔調節装置は、前記第2バッフル
    プレートに形成された複数の貫通孔を通過する反応ガス
    の流量をプロセス領域内の位置によって選択的に不均一
    にするために、前記第2ギャップの幅を狭めるように前
    記第2バッフルプレートの位置を決定することを特徴と
    する請求項1に記載のシャワーヘッド。
  7. 【請求項7】 前記間隔調節装置は、前記第1ギャップ
    の幅を決定するために前記第1バッフルプレートの上面
    に設けられる第1スペーサリングと、前記第2ギャップ
    の幅を決定するために前記第1バッフルプレートと前記
    第2バッフルプレートとの間に設けられる第2スペーサ
    リングとを有することを特徴とする請求項1に記載のシ
    ャワーヘッド。
  8. 【請求項8】 前記第1スペーサリングは前記第1バッ
    フルプレートの上面のエッジ部分に設けられ、前記第2
    スペーサリングは前記第2バッフルプレートの上面のエ
    ッジ部分に設けられることを特徴とする請求項7に記載
    のシャワーヘッド。
  9. 【請求項9】 前記第1スペーサリングは、1つまたは
    2つ以上の環状リングからなることを特徴とする請求項
    7に記載のシャワーヘッド。
  10. 【請求項10】 前記第2スペーサリングは、1つまた
    は2つ以上の環状リングからなることを特徴とする請求
    項7に記載のシャワーヘッド。
  11. 【請求項11】 記第1スペーサリング及び前記第2ス
    ペーサリングのうち少なくとも一つは、複数の鋸歯状ギ
    アが形成された環状接触部を有することを特徴とする請
    求項7に記載のシャワーヘッド。
  12. 【請求項12】 前記鋸歯状ギアは、中心角90゜に対
    応する円弧の長さと同じピッチを有することを特徴とす
    る請求項11に記載のシャワーヘッド。
  13. 【請求項13】 前記環状接触部の鋸歯状ギアの高さ
    は、0.01〜0.5mmであることを特徴とする請求
    項11に記載のシャワーヘッド。
  14. 【請求項14】 前記第1スペーサリングは、前記第1
    バッフルプレートとの対向面に形成された複数の鋸歯状
    ギアからなる環状接触部を有し、 前記第1バッフルプレートは、前記第1スペーサリング
    との対向面に前記環状接触部の鋸歯状ギアとかみ合い自
    在に形成された複数の鋸歯状ギアからなるスペーサリン
    グ結合部を有することを特徴とする請求項7に記載のシ
    ャワーヘッド。
  15. 【請求項15】 前記スペーサリング結合部の鋸歯状ギ
    アは、中心角90゜に対応する円弧長さと同じピッチを
    有することを特徴とする請求項14に記載のシャワーヘ
    ッド。
  16. 【請求項16】 前記スペーサリング結合部の鋸歯状ギ
    アの高さは、0.01〜0.5mmであることを特徴と
    する請求項14に記載のシャワーヘッド。
  17. 【請求項17】 前記第2スペーサリングは、前記第2
    バッフルプレートとの対向面に形成された複数の鋸歯状
    ギアからなる環状接触部を有し、 前記第2バッフルプレートは、前記第2スペーサリング
    との対向面に前記環状接触部の鋸歯状ギアとかみ合い自
    在に形成された複数の鋸歯状ギアからなるスペーサリン
    グ結合部を有することを特徴とする請求項7に記載のシ
    ャワーヘッド。
  18. 【請求項18】 前記スペーサリング結合部の鋸歯状ギ
    アは、中心角90゜に対応する円弧長さと同じピッチを
    有することを特徴とする請求項17に記載のシャワーヘ
    ッド。
  19. 【請求項19】 前記スペーサリング結合部の鋸歯状ギ
    アの高さは、0.01〜0.5mmであることを特徴と
    する請求項17に記載のシャワーヘッド。
  20. 【請求項20】 前記第1バッフルプレートは、全面的
    に均一な厚さを有する単一の円板状部材からなることを
    特徴とする請求項1に記載のシャワーヘッド。
  21. 【請求項21】 前記第1バッフルプレートは、 複数の貫通孔が形成され、上面の中心部に円形空間を提
    供するグルーブが形成されている円板状のベースプレー
    トと、 グルーブ内で前記第1バッフルプレートの中心軸を中心
    に回転自在に挿入され、前記ベースプレートに形成され
    た複数の貫通孔のうち一部と連通可能な複数の貫通孔が
    形成されている円板状のインサートプレートと、 を有することを特徴とする請求項1に記載のシャワーヘ
    ッド。
  22. 【請求項22】 前記ベースプレートに形成された複数
    の貫通孔は、 前記第1バッフルプレートの中心軸に近接して配置さ
    れ、前記中心軸から前記インサートプレートの半径長さ
    より短い第1距離放射方向に離隔された第1位置に形成
    されている複数の第1貫通孔と、 前記ベースプレートのエッジに近接して配置され、前記
    中心軸から前記インサートプレートの半径長さより長い
    第2距離放射方向に離隔された第2位置に形成されてい
    る複数の第2貫通孔とから構成され、 前記第1貫通孔と前記インサートプレートに形成された
    貫通孔とは、前記インサートプレートの回転距離に応じ
    て連通可能であることを特徴とする請求項21に記載の
    シャワーヘッド。
  23. 【請求項23】 前記インサートプレートの回転距離に
    よって前記第1貫通孔の開口率を変化させるために、前
    記インサートプレートに形成された複数の貫通孔と前記
    ベースプレートに形成された複数の第1貫通孔とは各々
    前記第1バッフルプレートの中心軸を基準に一部の角度
    範囲にのみ選択的に形成されていることを特徴とする請
    求項22に記載のシャワーヘッド。
  24. 【請求項24】 第1バッフルプレート上に前記第1バ
    ッフルプレートと同軸状に設けられ、前記上部プレート
    を通じて供給される反応ガスが流入する流入口と、前記
    流入口を通じて流入した反応ガスを複数の経路を通じて
    前記第1ギャップに流出させるための複数の流出口とが
    形成されているガイドバッフルプレートをさらに備え、 前記第1ギャップの幅は、前記ガイドバッフルプレート
    の底面と前記第1バッフルプレートの上面とによって限
    定されることを特徴とする請求項1に記載のシャワーヘ
    ッド。
  25. 【請求項25】 前記ガイドバッフルプレートに形成さ
    れた複数の流出口は、各々前記ガイドバッフルプレート
    の中心軸から所定距離放射方向に離隔された位置に形成
    されていることを特徴とする請求項24に記載のシャワ
    ーヘッド。
  26. 【請求項26】 前記第1バッフルプレートに形成され
    た複数の貫通孔は、 前記第1バッフルプレートの中心軸に近接して配置さ
    れ、前記中心軸から第1距離放射方向に離隔された第1
    位置に形成されている複数の第1貫通孔と、 前記第1バッフルプレートのエッジに近接して配置さ
    れ、前記中心軸から前記第1距離より大きい第2距離放
    射方向に離隔された第2位置に形成されている複数の第
    2貫通孔とから構成され、 前記ガイドバッフルプレートに形成された複数の流出口
    は、各々前記ガイドバッフルプレートの中心軸から前記
    第1距離より大きく前記第2距離より小さな第3距離放
    射方向に離隔された位置に形成されていることを特徴と
    する請求項25に記載のシャワーヘッド。
  27. 【請求項27】 前記流出口から前記第1貫通孔までの
    距離は、前記流出口から前記第2貫通孔までの距離より
    短いことを特徴とする請求項26に記載のシャワーヘッ
    ド。
  28. 【請求項28】 前記間隔調節装置は、前記第1ギャッ
    プの幅を決定するために前記ガイドバッフルプレートと
    前記第1バッフルプレートとの間に設けられる第1スペ
    ーサリングと、前記第2ギャップの幅を決定するために
    前記第1バッフルプレートと前記第2バッフルプレート
    との間に設けられる第2スペーサリングとを有すること
    を特徴とする請求項24に記載のシャワーヘッド。
  29. 【請求項29】 前記第1スペーサリング及び前記第2
    スペーサリングは、各々1つまたは2つ以上の環状リン
    グを有することを特徴とする請求項28に記載のシャワ
    ーヘッド。
  30. 【請求項30】 前記第1スペーサリング及び前記第2
    スペーサリングのうち少なくとも一つは、複数の鋸歯状
    ギアが形成された環状接触部を有することを特徴とする
    請求項28に記載のシャワーヘッド。
  31. 【請求項31】 前記鋸歯状ギアは、中心角90゜に対
    応する円弧長さと同じピッチを有することを特徴とする
    請求項30に記載のシャワーヘッド。
  32. 【請求項32】 前記環状接触部の鋸歯状ギアの高さ
    は、0.01〜0.5mmであることを特徴とする請求
    項30に記載のシャワーヘッド。
  33. 【請求項33】 前記第1スペーサリングは、前記第1
    バッフルプレートとの対向面に形成された複数の鋸歯状
    ギアからなる環状接触部を有し、 前記第1バッフルプレートは、前記第1スペーサリング
    との対向面に前記環状接触部の鋸歯状ギアとかみ合い自
    在に形成された複数の鋸歯状ギアからなるスペーサリン
    グ結合部を有することを特徴とする請求項28に記載の
    シャワーヘッド。
  34. 【請求項34】 前記スペーサリング結合部の鋸歯状ギ
    アは、中心角90゜に対応する円弧長さと同じピッチを
    有することを特徴とする請求項33に記載のシャワーヘ
    ッド。
  35. 【請求項35】 前記スペーサリング結合部の鋸歯状ギ
    アの高さは、0.01〜0.5mmであることを特徴と
    する請求項33に記載のシャワーヘッド。
  36. 【請求項36】 前記第2スペーサリングは、前記第2
    バッフルプレートとの対向面に形成された複数の鋸歯状
    ギアからなる環状接触部を有し、 前記第2バッフルプレートは、前記第2スペーサリング
    との対向面に前記環状接触部の鋸歯状ギアとかみ合い自
    在に形成された複数の鋸歯状ギアからなるスペーサリン
    グ結合部を有することを特徴とする請求項28に記載の
    シャワーヘッド。
  37. 【請求項37】 前記スペーサリング結合部の鋸歯状ギ
    アは、中心角90゜に対応する円弧長さと同じピッチを
    有することを特徴とする請求項36に記載のシャワーヘ
    ッド。
  38. 【請求項38】 前記スペーサリング結合部の鋸歯状ギ
    アの高さは、0.01〜0.5mmであることを特徴と
    する請求項36に記載のシャワーヘッド。
  39. 【請求項39】 前記間隔調節装置は、前記第1ギャッ
    プの幅を決定するために前記ガイドバッフルプレートを
    選択的に昇降させる第1駆動シャフトと、前記第2ギャ
    ップの幅を決定するために前記第1バッフルプレートを
    選択的に昇降させる第2駆動シャフトとを有することを
    特徴とする請求項24に記載のシャワーヘッド。
  40. 【請求項40】 前記第1駆動シャフトと前記第2駆動
    シャフトとは、同軸状に設けられていることを特徴とす
    る請求項39に記載のシャワーヘッド。
  41. 【請求項41】 前記間隔調節装置は、前記第2ギャッ
    プの幅を決定するために第1ステッピングモータを利用
    して前記第1バッフルプレートを昇降させる昇降機構
    と、前記第1ギャップの幅を決定するために第2ステッ
    ピングモータを利用して前記ガイドバッフルプレートを
    ギア駆動によって昇降させる回転機構とを有し、 前記昇降機構及び前記回転機構は、一体に結合されてい
    ることを特徴とする請求項24に記載のシャワーヘッ
    ド。
  42. 【請求項42】 前記昇降機構は、前記第1ステッピン
    グモータから伝えられる動力により昇降可能であり、前
    記ガイドバッフルプレート及び前記第1バッフルプレー
    トを貫通して延びるシャフトと、前記シャフトの一端に
    形成され前記シャフトの昇降によって前記第1バッフル
    プレートを従動的に昇降させる外向フランジとを有し、 前記回転機構は、前記第2ステッピングモータから伝え
    られる動力により回転可能な前記シャフトと、前記シャ
    フトの回転によって前記ガイドバッフルプレートを昇降
    させるために前記シャフトの外周において前記ガイドバ
    ッフルプレートが結合される位置に形成されている外接
    スクリュー部とを有することを特徴とする請求項41に
    記載のシャワーヘッド。
  43. 【請求項43】 前記第1バッフルプレートの中心部に
    は前記シャフトの一端に形成されている外向フランジを
    収容するための円形空間が形成されていることを特徴と
    する請求項42に記載のシャワーヘッド。
  44. 【請求項44】 前記ガイドバッフルプレートの中心部
    には前記シャフトが貫通する中心ホールが形成され、前
    記中心ホールの内壁には前記シャフトの外接スクリュー
    部とかみ合う内接スクリュー部が形成されていることを
    特徴とする請求項42に記載のシャワーヘッド。
  45. 【請求項45】 前記第1バッフルプレートを昇降させ
    るために前記シャフトが前記昇降機構によって昇降する
    時前記ガイドバッフルプレートが前記シャフトの移動に
    従動して昇降するように、前記ガイドバッフルプレート
    には前記外接スクリュー部とかみ合う内接スクリュー部
    が形成されていることを特徴とする請求項42に記載の
    シャワーヘッド。
  46. 【請求項46】 前記ガイドバッフルプレートを昇降さ
    せるために前記シャフトが前記回転機構によって回転す
    る時前記第1バッフルプレートが前記外向フランジの回
    転力に影響されないように、前記第1バッフルプレート
    には前記外向フランジを摩擦なしに収容するための円形
    空間が形成されていることを特徴とする請求項42に記
    載のシャワーヘッド。
  47. 【請求項47】 前記回転機構により前記シャフトが回
    転する時前記ガイドバッフルプレートの回転を防止する
    ためのストッパをさらに備えることを特徴とする請求項
    42に記載のシャワーヘッド。
  48. 【請求項48】 前記第1バッフルプレートに形成され
    た複数の貫通孔のうち一部と前記第2バッフルプレート
    に形成された複数の貫通孔のうち一部とが連通してアラ
    インホールが形成されるように、前記第1バッフルプレ
    ートと前記第2バッフルプレートとは互いに接し、 前記第2バッフルプレートに対して前記第1バッフルプ
    レートが所定の角度範囲で回転可能なように前記第1バ
    ッフルプレートに連結されている回転機構をさらに備え
    ることを特徴とする請求項1に記載のシャワーヘッド。
  49. 【請求項49】 前記第2ギャップの幅は、実質的に0
    であることを特徴とする請求項48に記載のシャワーヘ
    ッド。
  50. 【請求項50】 前記第1バッフルプレートに形成され
    た複数の貫通孔は、前記第1バッフルプレートの中心軸
    から半径距離に応じて相異なる開口率を有するように分
    布され、 前記第2バッフルプレートに形成された複数の貫通孔
    は、前記第2バッフルプレートの中心軸を基準に回転距
    離に応じて相異なる開口率を有するように分布され、 前記アラインホールの開口位置を変化させるために、前
    記回転機構は前記第1バッフルプレートの回転距離を変
    化させることを特徴とする請求項48に記載のシャワー
    ヘッド。
  51. 【請求項51】 前記第1バッフルプレートはその中心
    軸を基準に放射状に延びる複数の扇形領域に区分され、
    前記第1バッフルプレートの各扇形領域では前記中心軸
    から選択された半径距離離隔されている所定範囲内にの
    み複数の貫通孔が形成されていることを特徴とする請求
    項50に記載のシャワーヘッド。
  52. 【請求項52】 前記第2バッフルプレートはその中心
    軸を基準に放射状に延びる複数の扇形領域に区分され、
    前記第2バッフルプレートの複数の扇形領域のうち複数
    の貫通孔が形成された扇形領域は規則的な間隔で配置さ
    れていることを特徴とする請求項50に記載のシャワー
    ヘッド。
  53. 【請求項53】 前記間隔調節装置は、前記第1ギャッ
    プの幅を決定するために前記第1バッフルプレート及び
    前記第2バッフルプレートを同時に昇降させる駆動シャ
    フトを有することを特徴とする請求項48に記載のシャ
    ワーヘッド。
  54. 【請求項54】 第1バッフルプレート上に前記第1バ
    ッフルプレートと同軸状に設けられ、前記上部プレート
    を通じて供給される反応ガスが流入する流入口と、前記
    流入口を通じて流入した反応ガスを複数の経路を通じて
    前記第1ギャップに流出させるための複数の流出口とが
    形成されているガイドバッフルプレートをさらに備え、 前記第1ギャップの幅は、前記ガイドバッフルプレート
    の底面と前記第1バッフルプレートの上面とによって限
    定されることを特徴とする請求項53に記載のシャワー
    ヘッド。
  55. 【請求項55】 前記ガイドバッフルプレートに形成さ
    れた複数の流出口は、各々前記ガイドバッフルプレート
    の中心軸から所定距離放射方向に離隔された位置に形成
    されていることを特徴とする請求項54に記載のシャワ
    ーヘッド。
  56. 【請求項56】 ウェーハ処理装置で反応チャンバ内の
    プロセス領域に反応ガスを供給するためのシャワーヘッ
    ドにおいて、 外部から供給される前記反応ガスを前記反応チャンバ内
    に流入させるためのガス流入口が形成されている上部プ
    レートと、 複数の貫通孔が形成され、前記プロセス領域に対面して
    いるフェースプレートと、 前記上部プレートと前記フェースプレートとの間に設け
    られ、複数の貫通孔が形成されている第1バッフルプレ
    ートと、 前記第1バッフルプレートと前記フェースプレートとの
    間に設けられ、前記第1バッフルプレートとの間に前記
    反応ガスの横方向流動通路を形成するギャップを限定す
    る上面を有し、複数の貫通孔が形成されている第2バッ
    フルプレートと、 前記ギャップを通過した反応ガスの流量を制御するため
    に前記第2バッフルプレートの上面に設けられた複数の
    圧電素子と、 前記圧電素子に電圧を印加するためのパワー供給部と、 を備えることを特徴とするシャワーヘッド。
  57. 【請求項57】 前記複数の圧電素子は、 2つの周面を含み、電圧印加によって厚さ膨脹振動モー
    ドで振動する圧電層と、 前記圧電層の2つの周面上に各々形成された第1電極層
    及び第2電極層と、 前記第1バッフルプレートに隣接して配置された第1電
    極層上に形成されている絶縁層と、 を各々有することを特徴とする請求項56に記載のシャ
    ワーヘッド。
  58. 【請求項58】 前記圧電層は、PZT、PbTi
    3、BaTiO3またはPVDFポリマーのいずれかか
    らなることを特徴とする請求項57に記載のシャワーヘ
    ッド。
  59. 【請求項59】 前記第2電極層は、前記第2バッフル
    プレートから構成されることを特徴とする請求項57に
    記載のシャワーヘッド。
  60. 【請求項60】 前記複数の圧電素子は、各々第2バッ
    フルプレート上で前記第1バッフルプレートの貫通孔に
    対応する位置に形成されていることを特徴とする請求項
    56に記載のシャワーヘッド。
  61. 【請求項61】 前記圧電素子は、前記パワー供給部か
    ら印加される電圧の大きさによって調節される前記圧電
    素子の厚さ膨張率により、前記第1バッフルプレートの
    貫通孔から前記ギャップに流出する前記反応ガスの流量
    を調節することを特徴とする請求項56に記載のシャワ
    ーヘッド。
  62. 【請求項62】 前記圧電素子は、前記パワー供給部か
    ら印加される電圧の大きさによって調節される前記圧電
    素子の厚さ膨張率により、前記第1バッフルプレートの
    貫通孔を選択的に開閉することを特徴とする請求項56
    に記載のシャワーヘッド。
  63. 【請求項63】 前記第1バッフルプレートの貫通孔
    は、前記第1バッフルプレートの中心軸から所定の半径
    距離離隔された第1位置に形成され、 前記圧電素子は、第2バッフルプレート上で前記第1位
    置に対応する位置に形成された環状素子を有することを
    特徴とする請求項56に記載のシャワーヘッド。
  64. 【請求項64】 前記第1バッフルプレート及び前記第
    2バッフルプレートは、各々アルミニウムからなること
    を特徴とする請求項56に記載のシャワーヘッド。
  65. 【請求項65】 第1バッフルプレート上に前記第1バ
    ッフルプレートと同軸状に設けられ、前記第1バッフル
    プレートに対向する底面を有するガイドバッフルプレー
    トをさらに備え、 前記ガイドバッフルプレートは、前記ガイドバッフルプ
    レートと前記第1バッフルプレートとの間に前記反応ガ
    スの横方向流動通路を提供する上部ギャップが形成され
    るように配置され、 前記ガイドバッフルプレートには前記上部プレートを通
    じて供給される反応ガスが流入する流入口と、前記流入
    口を通じて流入する反応ガスを複数の経路を通じて前記
    上部ギャップに流出させるための複数の流出口とが形成
    されていることを特徴とする請求項56に記載のシャワ
    ーヘッド。
  66. 【請求項66】 前記ガイドバッフルプレートに形成さ
    れた複数の流出口は、各々前記ガイドバッフルプレート
    の中心軸から所定距離放射方向に離隔された位置に形成
    されていることを特徴とする請求項65に記載のシャワ
    ーヘッド。
  67. 【請求項67】 前記第2バッフルプレートと前記フェ
    ースプレートとの間に設けられ、複数の貫通孔が形成さ
    れている第3バッフルプレートをさらに備えることを特
    徴とする請求項56に記載のシャワーヘッド。
  68. 【請求項68】 前記第3バッフルプレートは、前記シ
    ャワーヘッドを電気的に安定化させるのに十分な抵抗率
    を有する高抵抗物質からなることを特徴とする請求項6
    7に記載のシャワーヘッド。
  69. 【請求項69】 前記第3バッフルプレートは、SiC
    からなることを特徴とする請求項68に記載のシャワー
    ヘッド。
  70. 【請求項70】 ウェーハ処理装置で反応チャンバ内の
    プロセス領域に反応ガスを供給するためのシャワーヘッ
    ドにおいて、 外部から供給される前記反応ガスの流量を中心軸から半
    径距離に応じて選択的に調節するために、前記中心軸か
    ら第1半径距離範囲にある複数の第1貫通孔と、前記第
    1半径距離範囲より大きい第2半径距離範囲にある複数
    の第2貫通孔とが形成されている第1バッフルプレート
    と、 前記第1バッフルプレートとの間に横方向流動通路を形
    成するギャップが形成されるように前記第1バッフルプ
    レートの下に設けられ、複数の貫通孔が形成されている
    第2バッフルプレートと、 前記ギャップの幅を調節するために前記第1バッフルプ
    レート及び前記第2バッフルプレートのうち少なくとも
    一つを移動させるための間隔調節装置と、 を備えることを特徴とするシャワーヘッド。
  71. 【請求項71】 前記間隔調節装置は、前記ギャップの
    幅を決定するために所定の厚さで前記第1バッフルプレ
    ートと前記第2バッフルプレートとの間に設けられるス
    ペーサリングを有することを特徴とする請求項70に記
    載のシャワーヘッド。
  72. 【請求項72】 前記スペーサリングは、前記第2バッ
    フルプレートの上面のうちエッジ部分に設けられること
    を特徴とする請求項71に記載のシャワーヘッド。
  73. 【請求項73】 前記スペーサリングは、1つまたは2
    つ以上の環状リングを有することを特徴とする請求項7
    1に記載のシャワーヘッド。
  74. 【請求項74】 前記スペーサリングは、複数の鋸歯状
    ギアが形成された環状接触部を有することを特徴とする
    請求項71に記載のシャワーヘッド。
  75. 【請求項75】 前記鋸歯状ギアは、中心角90゜に対
    応する円弧の長さと同じピッチを有することを特徴とす
    る請求項74に記載のシャワーヘッド。
  76. 【請求項76】 前記環状接触部の鋸歯状ギアの高さ
    は、0.01〜0.5mmであることを特徴とする請求
    項74に記載のシャワーヘッド。
  77. 【請求項77】 前記スペーサリングの環状接触部は、
    前記第1バッフルプレートの底面と接し、 前記第1バッフルプレートの底面のエッジ部には前記環
    状接触部の鋸歯状ギアとかみ合い自在に形成された複数
    の鋸歯状ギアからなるスペーサリング結合部が形成され
    ていることを特徴とする請求項74に記載のシャワーヘ
    ッド。
  78. 【請求項78】 前記第1バッフルプレートのスペーサ
    リング結合部は、前記第1バッフルプレートの底面中心
    部より薄い部分を含むことを特徴とする請求項77に記
    載のシャワーヘッド。
  79. 【請求項79】 前記スペーサリングの環状接触部は、
    前記第2バッフルプレートの上面と接し、 前記第2バッフルプレートの上面には前記環状接触部の
    鋸歯状ギアとかみ合い自在に形成された複数の鋸歯状ギ
    アからなるスペーサリング結合部が形成されていること
    を特徴とする請求項74に記載のシャワーヘッド。
  80. 【請求項80】 前記第2バッフルプレートのスペーサ
    リング結合部は、前記第2バッフルプレートの上面中心
    部より薄い部分を含むことを特徴とする請求項79に記
    載のシャワーヘッド。
  81. 【請求項81】 前記第1バッフルプレートは、全面的
    に均一な厚さを有する単一の円板状部材からなることを
    特徴とする請求項70に記載のシャワーヘッド。
  82. 【請求項82】 前記第1バッフルプレートは、 円形空間を提供するグルーブが形成された中心部を有
    し、前記中心部に前記第1貫通孔の一部を構成する複数
    の貫通孔が形成されている円板状のベースプレートと、 グルーブ内で前記第1バッフルプレートの中心軸を中心
    に回転自在に挿入され、前記第1貫通孔が形成されるよ
    うに前記ベースプレートの中心部に形成された貫通孔と
    連通可能な複数の貫通孔が形成されている円板状のイン
    サートプレートと、 を有することを特徴とする請求項70に記載のシャワー
    ヘッド。
  83. 【請求項83】 前記インサートプレートの回転距離に
    応じて前記第1貫通孔の開口率を変化させるために前記
    インサートプレートに形成された複数の貫通孔と、前記
    ベースプレートの中心部に形成された複数の貫通孔と
    は、各々前記第1バッフルプレートの中心軸を基準に一
    部の角度範囲にのみ選択的に形成されていることを特徴
    とする請求項82に記載のシャワーヘッド。
  84. 【請求項84】 前記間隔調節装置は、前記ギャップの
    幅を決定するために前記第1バッフルプレートを選択的
    に昇降させる駆動シャフトを有することを特徴とする請
    求項70に記載のシャワーヘッド。
  85. 【請求項85】 前記第1バッフルプレートに形成され
    た複数の第1貫通孔及び第2貫通孔のうち選択された一
    部の貫通孔と、前記第2バッフルプレートに形成されて
    いる複数の貫通孔のうち一部の貫通孔とが連通してアラ
    インホールが形成されるように、前記第1バッフルプレ
    ートと前記第2バッフルプレートとは互いに接し、 前記ギャップの幅は、実質的に0であることを特徴とす
    る請求項70に記載のシャワーヘッド。
  86. 【請求項86】 前記第2バッフルプレートに対して前
    記第1バッフルプレートが所定の角度範囲で回転自在に
    なるように前記第1バッフルプレートに連結されている
    回転機構をさらに備えることを特徴とする請求項85に
    記載のシャワーヘッド。
  87. 【請求項87】 前記第2バッフルプレートに形成され
    た複数の貫通孔は、前記第2バッフルプレートの中心軸
    を基準に回転距離に応じて相異なる開口率を有するよう
    に分布され、 前記アラインホールの開口位置を変化させるために、前
    記回転機構は前記第1バッフルプレートの回転角度を変
    化させることを特徴とする請求項86に記載のシャワー
    ヘッド。
  88. 【請求項88】 前記第1バッフルプレートには前記第
    2半径距離範囲より大きい第3半径距離範囲にある複数
    の第3貫通孔がさらに形成され、 前記第1バッフルプレートは、その中心軸を基準に放射
    状に延びる複数の扇形領域に区分され、 前記第1貫通孔、前記第2貫通孔及び前記第3貫通孔
    は、各々相異なる扇形領域に形成されていることを特徴
    とする請求項86に記載のシャワーヘッド。
  89. 【請求項89】 前記第2バッフルプレートはその中心
    軸を基準に放射状に延びる複数の扇形領域に区分され、
    前記第2バッフルプレートの複数の扇形領域のうち複数
    の貫通孔が形成された扇形領域は規則的な間隔で配置さ
    れていることを特徴とする請求項88に記載のシャワー
    ヘッド。
  90. 【請求項90】 複数の貫通孔が形成され、前記プロセ
    ス領域に対面しているフェースプレートをさらに備える
    ことを特徴とする請求項70に記載のシャワーヘッド。
  91. 【請求項91】 前記第2バッフルプレートと前記フェ
    ースプレートとの間に設けられ、複数の貫通孔が形成さ
    れている第3バッフルプレートをさらに備えることを特
    徴とする請求項90に記載のシャワーヘッド。
  92. 【請求項92】 前記第3バッフルプレートは、SiC
    からなることを特徴とする請求項91に記載のシャワー
    ヘッド。
  93. 【請求項93】 複数の貫通孔が形成されている円形の
    第1バッフルプレートと、 所定の幅を有するギャップを介在して前記第1バッフル
    プレートの下に設けられ、複数の貫通孔が形成されてい
    る円形の第2バッフルプレートと、 前記第1バッフルプレートに形成された貫通孔を通過し
    た反応ガスの流量を制御するために前記第1バッフルプ
    レートと前記第2バッフルプレートとの間に設けられて
    いる圧電素子と、 を備えることを特徴とするシャワーヘッド。
  94. 【請求項94】 前記第1バッフルプレートに形成され
    た複数の貫通孔は、 前記第1バッフルプレートの中心軸から第1半径距離離
    隔された位置に形成されている複数の第1貫通孔と、 前記第1バッフルプレートの中心軸から前記第1半径距
    離より大きい第2半径距離離隔された位置に形成されて
    いる複数の第2貫通孔と、 前記第1バッフルプレートの中心軸から前記第2半径距
    離より大きい第3半径距離離隔された位置に形成されて
    いる複数の第3貫通孔と、 を有することを特徴とする請求項93に記載のシャワー
    ヘッド。
  95. 【請求項95】 前記第2バッフルプレートに形成され
    た複数の貫通孔は、 前記第2バッフルプレートの中心軸位置に形成された第
    4貫通孔と、 前記第2バッフルプレートの中心軸から第4半径距離離
    隔された位置に形成されている複数の第5貫通孔と、 前記第2バッフルプレートの中心軸から前記第4半径距
    離より大きい第5半径距離離隔された位置に形成されて
    いる複数の第6貫通孔と、 前記第2バッフルプレートの中心軸から前記第5半径距
    離より大きい第6半径距離離隔された位置に形成されて
    いる複数の第7貫通孔と、 を有することを特徴とする請求項94に記載のシャワー
    ヘッド。
  96. 【請求項96】 前記圧電素子は、第2バッフルプレー
    ト上に形成された複数の環状素子を有することを特徴と
    する請求項93に記載のシャワーヘッド。
  97. 【請求項97】 前記圧電素子は、前記第2バッフルプ
    レートの上に接合されていることを特徴とする請求項9
    3に記載のシャワーヘッド。
  98. 【請求項98】 前記圧電素子は、第2バッフルプレー
    ト上で前記第1バッフルプレートの第1貫通孔に対応す
    る位置に設けられる第1圧電素子と、前記第2バッフル
    プレート上で前記第1バッフルプレートの第2貫通孔に
    対応する位置に設けられる第2圧電素子と、前記第2バ
    ッフルプレート上で前記第1バッフルプレートの第3貫
    通孔に対応する位置に設けられる第3圧電素子とを有す
    ることを特徴とする請求項94に記載のシャワーヘッ
    ド。
  99. 【請求項99】 前記圧電素子に電圧を印加するための
    パワー供給部をさらに備えることを特徴とする請求項9
    3に記載のシャワーヘッド。
  100. 【請求項100】 前記第1圧電素子、前記第2圧電素
    子及び前記第3圧電素子に各々電圧を印加するためのパ
    ワー供給部をさらに備え、 前記パワー供給部は、前記第1圧電素子、前記第2圧電
    素子及び前記第3圧電素子に各々相異なる大きさの電圧
    を印加することを特徴とする請求項98に記載のシャワ
    ーヘッド。
  101. 【請求項101】 前記第1圧電素子に電圧を印加する
    ためのパワー供給部をさらに備え、 前記第1貫通孔と前記第1圧電素子との距離を調節でき
    るように、前記第1圧電素子は前記パワー供給部から印
    加される電圧の大きさによって調節可能な厚さ膨張率を
    有することを特徴とする請求項98に記載のシャワーヘ
    ッド。
  102. 【請求項102】 前記第2圧電素子に電圧を印加する
    ためのパワー供給部をさらに備え、 前記第2貫通孔と前記第2圧電素子との距離を調節でき
    るように、前記第2圧電素子は前記パワー供給部から印
    加される電圧の大きさによって調節可能な厚さ膨張率を
    有することを特徴とする請求項98に記載のシャワーヘ
    ッド。
  103. 【請求項103】 前記第3圧電素子に電圧を印加する
    ためのパワー供給部をさらに備え、 前記第3貫通孔と前記第3圧電素子との距離を調節でき
    るように、前記第3圧電素子は前記パワー供給部から印
    加される電圧の大きさによって調節可能な厚さ膨張率を
    有することを特徴とする請求項98に記載のシャワーヘ
    ッド。
  104. 【請求項104】 前記第1バッフルプレート及び前記
    第2バッフルプレートは、各々アルミニウムからなるこ
    とを特徴とする請求項93に記載のシャワーヘッド。
  105. 【請求項105】 前記圧電素子は、 2つの周面を含み、電圧印加によって厚さ膨脹モードで
    振動する圧電層と、 前記2つの周面上に各々形成された第1電極層及び第2
    電極層と、 前記第1バッフルプレートに隣接して配置された第1電
    極層上に形成されている絶縁層と、 を有することを特徴とする請求項93に記載のシャワー
    ヘッド。
  106. 【請求項106】 前記圧電層は、PZT、PbTiO
    3、BaTiO3またはPVDFポリマーのいずれかから
    なることを特徴とする請求項105に記載のシャワーヘ
    ッド。
  107. 【請求項107】 前記第2電極層は、前記第2バッフ
    ルプレートから構成されることを特徴とする請求項10
    5に記載のシャワーヘッド。
  108. 【請求項108】 前記圧電素子は、前記圧電層と前記
    第2バッフルプレートとの接合面をさらに有することを
    特徴とする請求項107に記載のシャワーヘッド。
JP2002206765A 2001-07-16 2002-07-16 ウェーハ処理装置のシャワーヘッド Expired - Fee Related JP4246450B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2001-0042822A KR100400044B1 (ko) 2001-07-16 2001-07-16 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
KR2001-42822 2001-07-16

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008236763A Division JP2008300888A (ja) 2001-07-16 2008-09-16 ウェーハ処理装置のシャワーヘッド

Publications (2)

Publication Number Publication Date
JP2003051489A true JP2003051489A (ja) 2003-02-21
JP4246450B2 JP4246450B2 (ja) 2009-04-02

Family

ID=36772450

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002206765A Expired - Fee Related JP4246450B2 (ja) 2001-07-16 2002-07-16 ウェーハ処理装置のシャワーヘッド
JP2008236763A Withdrawn JP2008300888A (ja) 2001-07-16 2008-09-16 ウェーハ処理装置のシャワーヘッド

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008236763A Withdrawn JP2008300888A (ja) 2001-07-16 2008-09-16 ウェーハ処理装置のシャワーヘッド

Country Status (6)

Country Link
US (2) US6872258B2 (ja)
JP (2) JP4246450B2 (ja)
KR (1) KR100400044B1 (ja)
CN (2) CN100435274C (ja)
DE (1) DE10232206B4 (ja)
TW (1) TW565903B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009076657A2 (en) * 2007-12-12 2009-06-18 Intermolecular, Inc. Modular flow cell and adjustment system
JP2010118628A (ja) * 2008-11-14 2010-05-27 Shibaura Mechatronics Corp プラズマ処理装置
WO2013183437A1 (ja) * 2012-06-08 2013-12-12 東京エレクトロン株式会社 ガス処理方法
JP2015119177A (ja) * 2013-12-16 2015-06-25 ピーエスケー・インコーポレーテッド バッフルアセンブリー及びこれを有する基板処理装置
WO2017149739A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法

Families Citing this family (462)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100426816B1 (ko) * 2002-07-31 2004-04-14 삼성전자주식회사 진공압조절장치가 개선된 플라즈마 처리장치
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100526928B1 (ko) * 2003-07-16 2005-11-09 삼성전자주식회사 식각장치
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
KR100562306B1 (ko) * 2004-09-13 2006-03-22 동부아남반도체 주식회사 화학기계적 연마장치
US20060138925A1 (en) * 2004-12-28 2006-06-29 Yi-Fang Cheng Plasma processing device having a ring-shaped air chamber for heat dissipation
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
WO2006106872A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. プラズマドーピング方法及び装置
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP2007042951A (ja) * 2005-08-04 2007-02-15 Tokyo Electron Ltd プラズマ処理装置
KR100725613B1 (ko) 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
KR100768673B1 (ko) * 2006-05-30 2007-10-22 (주)위지트 샤워헤드
KR101217522B1 (ko) * 2006-09-07 2013-01-02 주식회사 원익아이피에스 유량조절블럭을 구비한 샤워헤드
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
CN101179005B (zh) * 2006-11-10 2010-10-27 Tes股份有限公司 排气系统及使用此系统制造薄膜的半导体制造装置与方法
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR100901118B1 (ko) * 2007-08-24 2009-06-08 주식회사 케이씨텍 박막 증착장치의 분사유닛
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8257548B2 (en) * 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
JP4956469B2 (ja) * 2008-03-24 2012-06-20 株式会社ニューフレアテクノロジー 半導体製造装置
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US20100112212A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Adjustable gas distribution apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
CN102763198B (zh) * 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
CN102051595B (zh) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 化学气相沉积装置及其喷头
DE102010000388A1 (de) * 2010-02-11 2011-08-11 Aixtron Ag, 52134 Gaseinlassorgan mit Prallplattenanordnung
CN102193565A (zh) * 2010-03-19 2011-09-21 上海微电子装备有限公司 气浴控温装置及方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931050B (zh) * 2011-08-10 2017-10-31 中国科学院微电子研究所 一种新型的常压等离子体自由基清洗喷枪进气方式
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
JP5860063B2 (ja) * 2011-12-22 2016-02-16 キヤノンアネルバ株式会社 基板処理装置
CN103184432B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(北京)有限公司 注入装置及处理设备
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN105274498B (zh) * 2012-05-11 2017-10-27 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
DE102012111218A1 (de) * 2012-11-21 2014-05-22 Emdeoled Gmbh Materialabgabekopf, Materialabgabeeinrichtung und Verfahren
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272135A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Company Limited Deposition injection masking
US9353439B2 (en) * 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
CN104195525B (zh) * 2014-08-15 2016-08-24 沈阳拓荆科技有限公司 两种气体独立均匀喷气喷淋装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP1545606S (ja) * 2015-08-26 2016-03-14
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105091506A (zh) * 2015-08-31 2015-11-25 武汉华星光电技术有限公司 真空干燥装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102537309B1 (ko) * 2015-10-08 2023-05-25 어플라이드 머티어리얼스, 인코포레이티드 감소된 배면 플라즈마 점화를 갖는 샤워헤드
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10533252B2 (en) * 2016-03-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Showerhead, semicondcutor processing apparatus having the same and semiconductor process
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR20180053491A (ko) * 2016-11-11 2018-05-23 삼성전자주식회사 가스 분사 장치 및 이를 포함하는 기판 처리 장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN110621411B (zh) * 2017-05-31 2021-08-10 艾斯曲尔医疗公司 喷嘴装置及其制造方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212676A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
KR102110232B1 (ko) * 2018-09-18 2020-05-13 주식회사 테스 가스공급유닛
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7129307B2 (ja) * 2018-10-10 2022-09-01 東京エレクトロン株式会社 基板支持アセンブリ、プラズマ処理装置、及びプラズマ処理方法
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231557A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111321463B (zh) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 反应腔室
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068272B (zh) * 2020-07-31 2023-09-29 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20230121103A (ko) * 2020-12-22 2023-08-17 매슨 테크놀로지 인크 가스 샤워헤드 조립체를 갖는 워크피스 프로세싱 장치
CN114686853B (zh) * 2020-12-31 2023-09-01 拓荆科技股份有限公司 可控气流分布的气体喷头
CN112689376B (zh) * 2021-03-15 2021-06-18 四川大学 一种采用压电材料的微波等离子体射流激发装置
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11769659B2 (en) * 2021-08-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Showerhead assembly and method of servicing assembly for semiconductor manufacturing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3809050A (en) * 1971-01-13 1974-05-07 Cogar Corp Mounting block for semiconductor wafers
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
JPS61167364A (ja) * 1985-01-18 1986-07-29 Diesel Kiki Co Ltd 高速電磁弁
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP3039583B2 (ja) * 1991-05-30 2000-05-08 株式会社日立製作所 バルブ及びそれを用いた半導体製造装置
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5432936A (en) * 1992-04-09 1995-07-11 Microsoft Corporation Method for implementing pointers to members in a compiler for an object-oriented programming language
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
CA2186023C (en) * 1994-04-20 2006-11-07 Walter Brieden Process for preparing 2-piperazine carboxylic acid derivatives
US5441568A (en) * 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5480678A (en) * 1994-11-16 1996-01-02 The B. F. Goodrich Company Apparatus for use with CVI/CVD processes
EP0832863B1 (en) * 1994-11-16 2002-04-03 The B.F. Goodrich Company Pressure gradient CVI/CVD apparatus, process, and product
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6062256A (en) * 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US5968374A (en) 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
JPH1180964A (ja) * 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US6207006B1 (en) 1997-09-18 2001-03-27 Tokyo Electron Limited Vacuum processing apparatus
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6454864B2 (en) * 1999-06-14 2002-09-24 Cutek Research, Inc. Two-piece chuck
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
CN2399574Y (zh) * 1999-11-17 2000-10-04 吴忠仪表股份有限公司 压电双晶体阀
KR100338955B1 (ko) * 1999-12-31 2002-05-31 박종섭 반도체의 건식각 공정용 가스 공급 장치
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009076657A2 (en) * 2007-12-12 2009-06-18 Intermolecular, Inc. Modular flow cell and adjustment system
WO2009076657A3 (en) * 2007-12-12 2009-09-17 Intermolecular, Inc. Modular flow cell and adjustment system
JP2010118628A (ja) * 2008-11-14 2010-05-27 Shibaura Mechatronics Corp プラズマ処理装置
WO2013183437A1 (ja) * 2012-06-08 2013-12-12 東京エレクトロン株式会社 ガス処理方法
JPWO2013183437A1 (ja) * 2012-06-08 2016-01-28 東京エレクトロン株式会社 ガス処理方法
JP2015119177A (ja) * 2013-12-16 2015-06-25 ピーエスケー・インコーポレーテッド バッフルアセンブリー及びこれを有する基板処理装置
WO2017149739A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
US11225718B2 (en) 2016-03-03 2022-01-18 Core Technology, Inc. Plasma treatment device and structure of reaction vessel for plasma treatment
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法

Also Published As

Publication number Publication date
KR20030008068A (ko) 2003-01-24
DE10232206A1 (de) 2003-02-27
JP4246450B2 (ja) 2009-04-02
JP2008300888A (ja) 2008-12-11
US6872258B2 (en) 2005-03-29
CN1397991A (zh) 2003-02-19
US20030010452A1 (en) 2003-01-16
TW565903B (en) 2003-12-11
US20050145338A1 (en) 2005-07-07
CN1265441C (zh) 2006-07-19
CN1781608A (zh) 2006-06-07
KR100400044B1 (ko) 2003-09-29
CN100435274C (zh) 2008-11-19
DE10232206B4 (de) 2006-02-09

Similar Documents

Publication Publication Date Title
JP2003051489A (ja) ウェーハ処理装置のシャワーヘッド
US10475641B2 (en) Substrate processing apparatus
JP5138700B2 (ja) 容量結合プラズマプロセスチャンバにおけるガスフローコンダクタンス制御のための装置および方法
JP5107185B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5712879B2 (ja) 成膜装置及び基板処理装置
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
TW201701318A (zh) 具有傾斜的限制環之電漿處理系統與結構
JP6478847B2 (ja) 基板処理装置
JP2016225521A (ja) 基板処理装置及び基板処理方法
US20180155829A1 (en) Cleaning method and film deposition apparatus
TWI777828B (zh) 原子層自對準的基板處理及整合式工具集
JP6735549B2 (ja) 基板処理装置、基板処理方法及びリング状部材
KR100754243B1 (ko) 반도체 제조설비의 진공 장치
TWI781346B (zh) 具有精確溫度和流量控制的多站腔室蓋
JP2021118249A (ja) プラズマ処理装置
JP3149701U (ja) 半導体処理装置用シャワーヘッド
US20220106683A1 (en) Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
TW202141666A (zh) 具有局部化的流動控制的面板
US11965246B2 (en) Deposition method and deposition apparatus
TWI754180B (zh) 用於形成薄膜的處理腔室與方法
WO2022244041A1 (ja) プラズマ処理装置
TW202240010A (zh) 沉積設備及使用交錯泵送位置的方法
TW202330980A (zh) 半導體處理室、基板處理總成及處理半導體基板之方法
CN117660930A (zh) 喷淋头、沉积设备及其工作方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080613

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080916

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081212

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090108

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120116

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130116

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees